• Title/Summary/Keyword: Poly-Si TFTs

Search Result 175, Processing Time 0.029 seconds

Fabrication of the Two-Step Crystallized Polycrystalline Silicon Thin Film Transistors with the Novel Device Structure (두 단계 열처리 방법으로 결정화된 새로운 구조의 다결정 실리콘 박막 트렌지스터의 제작)

  • Choi, Yong-Won;Wook, Hwang-Han;Kim, Yong-Sang;Kim, Han-Soo
    • Proceedings of the KIEE Conference
    • /
    • 2000.07c
    • /
    • pp.1772-1775
    • /
    • 2000
  • We have fabricated poly-Si TFTs by two-step crystallizaton. Poly-Si films have been prepared by furnace annealing(FA) and rapid thermal annealing(RTA) followed by subsequent the post-annealing, excimer laser annealing. The measured crystallinity of RTA and FA annealed poly-Si film is 77% and 68.5%, respectively. For two-step annealed poly-Si film, the crystallinity has been drastically to 87.7% and 86.3%. The RMS surface roughness from AFM results have been improved from 56.3${\AA}$ to 33.5${\AA}$ after post annealing. The measured transfer characteristics of the two-step annealed poly-Si TFTs have been improved significantly for the both FA-ELA and RTA-ELA. Leakage currents of two-step annealed poly-Si TFTs are lower than that of the devices by FA and RTA. From these results, we can describe the fact that the intra-grain defects has been cured drastically by the post-annealing.

  • PDF

In-Situ Fluorine Passivation by Excimer Laser Annealing

  • Jung, Sang-Hoon;Kim, Cheon-Hong;Jeon, Jae-Hong;Yoo, Juhn-Suk;Han, Min-Koo
    • Journal of Information Display
    • /
    • v.1 no.1
    • /
    • pp.25-28
    • /
    • 2000
  • We propose a new in-situ fluorine passivation of poly-Si TFTs using excimer laser annealing to reduce the trap state density and improve reliability significantly. To investigate the effect of an in-situ fluorine passivation, we have fabricated fluorine-passivated p-channel poly-Si TFTs and examined their electrical characteristics and stability. A new in-situ fluorine passivation brought about an improvement in electrical characteristic. Such improvement is due to the formation of stronger Si-F bonds than Si-H bonds in poly-Si channel and $SiO_2$/Poly-Si interface.

  • PDF

The Growth of Low Temperature Polysilicon Thin Films and Application to Polysilicon TFTs (저온 다결정 실리콘 박막의 성장 및 다결정 실리콘 박막트랜지스터에의 응용)

  • 하승호;이진민;박승희;김영호
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1993.11a
    • /
    • pp.64-66
    • /
    • 1993
  • The charateristics of low temperature poly-Si thin films with different growth condition were investigated and poly-Si TFTs were fabricated on solid phase crystallized (SPC) amorphous silicon films and as-deposited poly-Si films. The performance of devices fabricated on the SPC amorphous silicon films was shown to be superior to that of devices fabricated on as-deposited poly-Si films. It was found that the characteristics of low-temperature poly-Si thin films such as surface roughness, crystal texture and grain size strongly influenced the poly-Si TFT performance.

  • PDF

Characterization of channel length and width of p channel poly-Si thin film transistors (P channel poly-Si TFT의 길이와 두께에 관한 특성)

  • Lee, Jeoung-In;Hwang, Sung-Hyun;Jung, Sung-Wook;Jang, Kyung-Soo;Lee, Kwang-Soo;Chung, Ho-Kyoon;Choi, Byoung-Deog;Lee, Ki-Yong;Yi, Jun-Sin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.11a
    • /
    • pp.87-88
    • /
    • 2006
  • Recently, poly-Si TFT-LCD starts to be mass produced using excimer laser annealing (ELA) poly-Si. The main reason for this is the good quality poly-Si and large area uniformity. We report the influence of channel length and width on poly-Si TFTs performance. Transfer characteristics of p-channel poly-Si thin film transistors fabricated on polycrystalline silicon (poly-Si) thin film transistors (TFTs) with various channel lengths and widths of 2-30 ${\mu}m$ has been investigated. In this paper, we analyzed the data of p-type TFTs. We studied threshold voltage ($V_{TH}$), on/off current ratio ($I_{ON}/I_{OFF}$), saturation current ($I_{DSAT}$), and transconductance ($g_m$) of p-channel poly-Si thin film transistors with various channel lengths and widths.

  • PDF

Hysteresis Characteristics in Low Temperature Poly-Si Thin Film Transistors

  • Chung, Hoon-Ju;Kim, Dae-Hwan;Kim, Byeong-Koo
    • Journal of Information Display
    • /
    • v.6 no.4
    • /
    • pp.6-10
    • /
    • 2005
  • The dependence of hysteresis characteristics in low temperature poly-Si (LTPS) thin film transistors (TFTs) on the gate-source voltage (Vgs) or the drain-source voltage (Vds) bias is investigated and discussed. The hysteresis levels in both p-type and n-type LTPS TFTs are independent of Vds bias but increase as the sweep range of Vgs increases. It has been found that the hysteresis in both p-type and n-type LTPS TFTs originated from charge trapping and de-trapping in the channel region rather than at the source/drain edges.

Charge Pumping Measurements Optimized in Nonvolatile Polysilicon Thin-film Transistor Memory

  • Lee, Dong-Myeong;An, Ho-Myeong;Seo, Yu-Jeong;Kim, Hui-Dong;Song, Min-Yeong;Jo, Won-Ju;Kim, Tae-Geun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.331-331
    • /
    • 2012
  • With the NAND Flash scaling down, it becomes more and more difficult to follow Moore's law to continue the scaling due to physical limitations. Recently, three-dimensional (3D) flash memories have introduced as an ideal solution for ultra-high-density data storage. In 3D flash memory, as the process reason, we need to use poly-Si TFTs instead of conventional transistors. So, after combining charge trap flash (CTF) structure and poly-Si TFTs, the emerging device SONOS-TFTs has also suffered from some reliability problem such as hot carrier degradation, charge-trapping-induced parasitic capacitance and resistance which both create interface traps. Charge pumping method is a useful tool to investigate the degradation phenomenon related to interface trap creation. However, the curves for charge pumping current in SONOS TFTs were far from ideal, which previously due to the fabrication process or some unknown traps. It needs an optimization and the important geometrical effect should be eliminated. In spite of its importance, it is still not deeply studied. In our work, base-level sweep model was applied in SONOS TFTs, and the nonideal charge pumping current was optimized by adjusting the gate pulse transition time. As a result, after the optimizing, an improved charge pumping current curve is obtained.

  • PDF

A Simulation Study on the Flicker Analysis for the Poly-Silicon TFT-LCD (다결정질 Si TFT-LCD에서의 Flicker에 대한 Simulation 연구)

  • 손명식;송민수;유건호;허지호;경희대학교물리학과;경희대학교물리학과
    • Proceedings of the IEEK Conference
    • /
    • 2001.06b
    • /
    • pp.225-228
    • /
    • 2001
  • We simulated and analyzed the flicker phenomena in the poly-Si TFT-LCD using PSpice for the development of wide-area and high-quality LCD display We define the electric quantity of flicker in the TFT-LCD, which is the ratio of half frame frequency (30Hz) to DC (0 Hz) frequency. We compared two different types of TFTs, excimer laser annealed (ELA) poly-Si TFT and silicide mediated crystallization (SMC) poly-Si TFT, and found that the ELA and SMC TFTs show different flicker characteristics because of their mobility and leakage current. In addition, we showed that the gate voltage should be chosen carefully at the minimum flicker because of the larger leakage current of poly-Si Tn as compared with a-Si TFT

  • PDF

A Research About P-type Polycrystalline Silicon Thin Film Transistors of Low Temperature with Metal Gate Electrode and High Temperature with Gate Poly Silicon (실리콘 게이트전극을 갖는 고온소자와 금속 게이트전극을 갖는 P형 저온 다결정 실리콘 박막 트랜지스터의 전기특성 비교 연구)

  • Lee, Jin-Min
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.24 no.6
    • /
    • pp.433-439
    • /
    • 2011
  • Poly Si TFTs (poly silicon thin film transistors) with p channel those are annealed HT (high temperature) with gate poly crystalline silicon and LT (low temperature) with metal gate electrode were fabricated on quartz substrate using the analyzed data and compared according to the activated grade silicon thin films and the size of device channel. The electrical characteristics of HT poly-Si TFTs increased those are the on current, electron mobility and decrease threshold voltage by the quality of particles of active thin films annealed at high temperature. But the on/off current ratio reduced by increase of the off current depend on the hot carrier applied to high gate voltage. Even though the size of the particles annealed at low temperature are bigger than HT poly-Si TFTs due to defect in the activated grade poly crystal silicon and the grain boundary, the characteristics of LT poly-Si TFTs were investigated deterioration phenomena those are decrease the electric off current, electron mobility and increase threshold voltage. The results of transconductance show that slope depend on the quality of particles and the amplitude depend on the size of the active silicon particles.

Capacitorless 1T-DRAM devices using poly-Si TFT

  • Kim, Min-Su;Jeong, Seung-Min;Jo, Won-Ju
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.144-144
    • /
    • 2010
  • 다결정 실리콘 박막트랜지스터 (poly-Si TFTs)는 벌크실리콘을 이용한 MOSFET소자에 비해 실리콘 박막의 형성이 간단하므로 대면적의 공정이 가능하며 다양한 기판위에 적용이 가능하여 LCD, OLED 등의 디스플레이 기기에 많이 이용되고 있다. 또한 poly-Si TFT는 3차원으로 적층된 소자의 제작이 가능하여 고집적의 한계를 극복할 소자로 주목받고 있다. 최근, DRAM은 캐패시터의 축소화와 구조적 공정이 한계점에 도달했으며 이를 극복하기 위하여 SOI 기판을 사용한 하나의 트랜지스터로 DRAM의 동작을 수행하는 1T-DRAM의 연구가 활발히 진행 중이다. 이러한 1T-DRAM 소자를 대면적과 다층구조의 공정이 가능한 poly-Si TFT를 이용하여 구현하면 초고집적의 메모리 소자를 제작 가능할 것이다. 따라서, 본 연구에서는 다결정 실리콘 박막트랜지스터 (poly-Si TFTs)를 이용한 1T-DRAM의 동작 특성을 연구하였다. 소자의 제작 방법으로는 200 nm의 열산화막이 성장된 p-type 실리콘 기판위에 상부실리콘으로 사용될 비정질 실리콘 박막을 LPCVD 방법으로 증착하였다. 다음으로 248 nm의 파장을 가지는 KrF 레이저를 이용한 eximer laser annealing (ELA) 공정을 통하여 결정화된 상부실리콘층에 TFT 소자를 제작하여 전기적 특성을 평가하였다.

  • PDF

Leakage Current Reduction of Ni-MILC Poly-Si TFT Using Chemical Cleaning Method

  • Lee, Kwang-Jin;Kim, Doyeon;Choi, Duck-Kyun;Kim, Woo-Byoung
    • Korean Journal of Materials Research
    • /
    • v.28 no.8
    • /
    • pp.440-444
    • /
    • 2018
  • An effective cleaning method for Ni removal in Ni-induced lateral crystallization(Ni-MILC) poly-Si TFTs and their electrical properties are investigated. The HCN cleaning method is effective for removal of Ni on the crystallized Si surface, while the nitric acid treatment results decrease by almost two orders of magnitude in the Ni concentration due to effective removal of diffused Ni mainly in the poly-Si grain boundary regions. Using the HCN cleaning method after the nitric acid treatment, re-adsorbed Ni on the Si surfaces is effectively removed by the formation of Ni-cyanide complexions. After the cleaning process, important electrical properties are improved, e.g., the leakage current density from $9.43{\times}10^{-12}$ to $3.43{\times}10^{-12}$ A and the subthreshold swing values from 1.37 to 0.67 mV/dec.