• Title/Summary/Keyword: Plasma Bonding

Search Result 249, Processing Time 0.028 seconds

Effect of Plasma Treatment on the Bond Strength of Sn-Pb Eutectic Solder Flip Chip (Sn-Pb 공정솔더 플립칩의 접합강도에 미치는 플라즈마 처리 효과)

  • 홍순민;강춘식;정재필
    • Journal of Welding and Joining
    • /
    • v.20 no.4
    • /
    • pp.498-504
    • /
    • 2002
  • Fluxless flip chip bonding process using plasma treatment instead of flux was investigated. The effect of plasma process parameters on tin-oxide etching characteristics were estimated with Auger depth profile analysis. The die shear test was performed to evaluate the adhesion strength of the flip chip bonded after plasma treatment. The thickness of oxide layer on tin surface was reduced after Ar+H2 plasma treatment. The addition of H2 improved the oxide etching characteristics by plasma. The die shear strength of the plasma-treated Sn-Pb solder flip chip was higher than that of non-treated one but lower than that of fluxed one. The difference of the strength between plasma-treated specimen and non-treated one increased with increase in bonding temperature. The plasma-treated flip chip fractured at solder/TSM interface at low bonding temperature while the fracture occurred at solder/UBM interface at higher bonding temperature.

High Speed Direct Bonding of Silicon Wafer Using Atmospheric Pressure Plasma (상압 플라즈마를 이용한 고속 실리콘 웨이퍼 직접접합 공정)

  • Cha, Yong-Won;Park, Sang-Su;Shin, Ho-Jun;Kim, Yong Taek;Lee, Jung Hoon;Suh, Il Woong;Choa, Sung-Hoon
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.22 no.3
    • /
    • pp.31-38
    • /
    • 2015
  • In order to achieve a high speed and high quality silicon wafer bonding, the room-temperature direct bonding using atmospheric pressure plasma and sprayed water vapor was developed. Effects of different plasma fabrication parameters, such as flow rate of $N_2$ gas, flow rate of CDA (clear dry air), gap between the plasma head and wafer surface, and plasma applied voltage, on plasma activation were investigated using the measurements of the contact angle. Influences of the annealing temperature and the annealing time on bonding strength were also investigated. The bonding strength of the bonded wafers was measured using a crack opening method. The optimized condition for the highest bonding strength was an annealing temperature of $400^{\circ}C$ and an annealing time of 2 hours. For the plasma activation conditions, the highest bonding strength was achieved at the plasma scan speed of 30 mm/sec and the number of plasma treatment of 4 times. After optimization of the plasma activation conditions and annealing conditions, the direct bonding of the silicon wafers was performed. The infrared transmission image and the cross sectional image of bonded interface indicated that there is no void and defects on the bonded wafers. The bonded wafer exhibited a bonding strength of average $2.3J/m^2$.

A Study on Fluxless Solder Flip Chip Bonding Using Plasma & Ultrasonic Wave (플라즈마와 초음파를 이용한 무플럭스 솔데 플립칩 접합에 관한 연구)

  • 홍순민;강춘식;정재필
    • Proceedings of the International Microelectronics And Packaging Society Conference
    • /
    • 2001.11a
    • /
    • pp.138-140
    • /
    • 2001
  • Fluxless flip chip bonding using plasma & ultrasonic wave was investigated in order to evaluate the effect of plasma & ultrasonic treatment on the bondability of the Sn-3.5wt%Ag solder bumped die to TSM-coated glass substrate. The $Ar+10%H_2plasma$ was effective in removing tin oxide on solder surface. The die shear strength of the plasma-treated Si-chip is higher than that of non-treated specimen but lower than that of specimen bonded with flux. The die shear strength with the bonding load at 25W ultrasonic power increased to 0.8N/bump for all bonding temperature but decreased above 1.0N/bump.

  • PDF

Influence of Allylamine Plasma Treatment Time on the Mechanical Properties of VGCF/Epoxy

  • Khuyen, Nguyen Quang;Kim, Jin-Bong;Kim, Byung-Sun;Lee, Soo
    • Advanced Composite Materials
    • /
    • v.18 no.3
    • /
    • pp.221-232
    • /
    • 2009
  • The allylamine plasma treatment is used to modify the surface properties of vapor grown carbon fibers (VGCF). It is to improve the interfacial bonding between the VGCF and epoxy matrix. The allylamine plasma process was performed by batch process in a vacuum chamber, using gas injection followed by plasma discharge for the durations of 20, 40 and 60 min. The interdependence of mechanical properties on the VGCF contents, treatment time and interfacial bonding between VGCF/ep was investigated. The interfacial bonding between VGCF and epoxy matrix was observed by scanning electron microscopy (SEM) micrographs of nanocomposites fracture surfaces. The changes in the mechanical properties of VGCF/ep, such as the tensile modulus and strength were discussed. The mechanical properties of allylamine plasma treated (AAPT) VGCF/ep were compared with those of raw VGCF/ep. The tensile strength and modulus of allyamine plasma treated VGCF40 (40 min treatment)/ep demonstrated a higher value than those of other samples. The mechanical properties were increased with the allyamine plasma treatment due to the improved adhesion at VGCF/ep interface. The modification of the carbon nanofibers surface was observed by transmission electron microscopy (TEM). SEM micrographs showed an excellent dispersion of VGCF in epoxy matrix by ultrasonic method.

The Evaluation of Surface and Adhesive Bonding Properties for Cold Rolled Steel Sheet for Automotive Treated by Ar/O2 Atmospheric Pressure Plasma (대기압 Ar/O2 플라즈마 표면처리된 자동차용 냉연강판의 표면특성 및 접착특성평가)

  • Lee, Chan-Joo;Lee, Sang-Kon;Park, Geun-Hwan;Kim, Byung-Min
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.32 no.4
    • /
    • pp.354-361
    • /
    • 2008
  • Cold rolled steel sheet for automotive was treated by Ar/$O_2$ atmospheric pressure plasma to improve the adhesive bonding strength. Through the contact angle test and calculation of surface free energy for cold rolled steel sheet, the changes of surface properties were investigated before and after plasma treatment. The contact angle was decreased and surface free energy was increased after plasma treatment. And the change of surface roughness and morphology were observed by AFM(Atomic Force Microscope). The surface roughness of steel sheet was slightly changed. Based on Taguchi method, single lap shear test was performed to investigate the effect of experimental parameter such as plasma power, treatment time and flow rate of $O_2$ gas. Results shows that the bonding strength of steel sheet treated in Ar/$O_2$ atmospheric pressure plasma was improved about 20% compared with untreated sheet.

H2 Plasma Pre-treatment for Low Temperature Cu-Cu Bonding (수소 플라즈마 처리를 이용한 구리-구리 저온 본딩)

  • Choi, Donghoon;Han, Seungeun;Chu, Hyeok-Jin;Kim, Injoo;Kim, Sungdong
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.28 no.4
    • /
    • pp.109-114
    • /
    • 2021
  • We investigated the effects of atmospheric hydrogen plasma treatment on Cu-Cu direct bonding. Hydrogen plasma was effective in reducing the surface oxide layer of Cu thin film, which was confirmed by GIXRD analysis. It was observed that larger plasma input power and longer treatment time were effective in terms of reduction and surface roughness. The interfacial adhesion energy was measured by DCB test and it was observed to decrease as the bonding temperature decreased, resulting in bonding failure at bonding temperature of 200℃. In case of wet treatment, strong Cu-Cu bonding was observed above bonding temperature of 250℃.

Plasma Cleaning Effect for Improvement of Package Delamination (패키지 박리 개선을 위한 플라즈마 세정 효과)

  • Koo Kyung-Wan;Kim Do-Woo;Wang Jin-Suk
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.54 no.7
    • /
    • pp.315-318
    • /
    • 2005
  • The effect of plasma cleaning was examined on package delamination phenomena in the integrated circuit (IC) packaging process. Without plasma cleaning, delamination was observed for all three experimental treatments applied after the packaging step, which include bake of If, reflow, and bake of If followed by reflow However, no delamination was observed when the plasma cleaning was performed before and after the wire bonding step. Plasma cleaning was found to be a critical step to improve the reliability of the package by reducing the possibility of contact failure between die pad and bonding wire.

Bonding Strength Evaluation of Copper Bonding Using Copper Nitride Layer (구리 질화막을 이용한 구리 접합 구조의 접합강도 연구)

  • Seo, Hankyeol;Park, Haesung;Kim, Gahui;Park, Young-Bae;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.27 no.3
    • /
    • pp.55-60
    • /
    • 2020
  • The recent semiconductor packaging technology is evolving into a high-performance system-in-packaging (SIP) structure, and copper-to-copper bonding process becomes an important core technology to realize SIP. Copper-to-copper bonding process faces challenges such as copper oxidation and high temperature and high pressure process conditions. In this study, the bonding interface quality of low-temperature copper-to-copper bonding using a two-step plasma treatment was investigated through quantitative bonding strength measurements. Our two-step plasma treatment formed copper nitride layer on copper surface which enables low-temperature copper bonding. The bonding strength was evaluated by the four-point bending test method and the shear test method, and the average bonding shear strength was 30.40 MPa, showing that the copper-to-copper bonding process using a two-step plasma process had excellent bonding strength.

A study on bonding characteristics of SoQ bonding according to surface treatment process conditions (표면처리 공정 조건에 따른 SoQ 접합의 접합 특성에 관한 연구)

  • Kim, Jong-Wan;Song, Eun-Seok;Kim, Yong-Kweon;Baek, Chang-Wook
    • Proceedings of the KIEE Conference
    • /
    • 2009.07a
    • /
    • pp.1501_1502
    • /
    • 2009
  • Plasma treatment time was optimized to maximize the bonding strength between silicon and quartz. Bonding strength between the silicon and quartz is related to a surface energy which can be calculated by contact angle measurement. It was found that optimized time to get maximized surface energy was 15 sec. Silicon and quartz wafers were treated with $O_2$ plasma under different time splits and then bonded together. Bonding strength of the bonded wafers was measured by shear test. It was verified that the highest bonding strength was obtained when the silicon and quartz wafers were treated for 15 seconds. The maximum bonding strength exceeded the fracture strength of silicon.

  • PDF

Microstructure and Bonding Strength of Tungsten Coating Deposited on Copper by Plasma Spraying

  • Song, Shu-Xiang;Zhou, Zhang-Jian;Du, Juan;Zhong, Zhi-Hong;Ge, Chang-Chun
    • Proceedings of the Korean Powder Metallurgy Institute Conference
    • /
    • 2006.09a
    • /
    • pp.511-512
    • /
    • 2006
  • Tungsten coatings with different interlayers onto the oxygen-free copper substrates were fabricated by atmosphere plasma spraying. The effects of different interlayers of NiCrAl, NiAl and W/Cu on bonding strength were studied. SEM, EDS and XRD were used to investigate the photographs and compositions of these coatings. The tungsten coatings with different initial particle sizes resulted in different microstructures. Oxidation was not detected in the tungsten coating, but in the interlayer, it was found by both XRD and EDS. The tungsten coating deposited directly onto the copper substrate presented higher bonding strength than those with different interlayers.

  • PDF