• Title/Summary/Keyword: Oxygen plasma etching

Search Result 85, Processing Time 0.025 seconds

Engineering of Bi-/Mono-layer Graphene Film Using Reactive Ion Etching

  • Irannejad, M.;Alyalak, W.;Burzhuev, S.;Brzezinski, A.;Yavuz, M.;Cui, B.
    • Transactions on Electrical and Electronic Materials
    • /
    • v.16 no.4
    • /
    • pp.169-172
    • /
    • 2015
  • Although, there are several research studies on the engineering of the graphene layers using different etching techniques, there is not any comprehensive study on the effects of using different etching masks in the reactive ion etching (RIE) method on the quality and uniformity of the etched graphene films. This study investigated the effects of using polystyrene and conventional photolithography resist as a etching mask on the engineering of the number of graphene layers, using RIE. The effects were studied using Raman spectroscopy. This analysis indicated that the photo-resist mask is better than the polystyrene mask because of its lower post processing effects on the graphene surface during the RIE process. A single layer graphene was achieved from a bi-layer graphene after 3 s of the RIE process using oxygen plasma, and the bi-layer graphene was successfully etched after 6 s of the RIE process. The bilayer etching time was significantly smaller than reported values for graphene flakes in previous research.

Study of Surface Reaction and Gas Phase Chemistries in High Density C4F8/O2/Ar and C4F8/O2/Ar/CH2F2 Plasma for Contact Hole Etching

  • Kim, Gwan-Ha
    • Transactions on Electrical and Electronic Materials
    • /
    • v.16 no.2
    • /
    • pp.90-94
    • /
    • 2015
  • In this study, the characterizations of oxide contact hole etching are investigated with C4F8/O2/Ar and CH2F2/C4F8/O2/ Ar plasma. As the percent composition of C4F8 in a C4F8/O2/Ar mixture increases, the amount of polymer deposited on the etched surface also increases because the CxFy polymer layer retards the reaction of oxygen atoms with PR. Adding CH2F2 into the C4F8/O2/Ar plasma increases the etch rate of the oxide and the selectivity of oxide to PR. The profile of contact holes was close to 90°, and no visible residue was seen in the SEM image at a C4F8/(C4F8+O2) ratio of 58%. The changes of chemical composition in the chamber were analyzed using optical emission spectroscopy, and the chemical reaction on the etched surface was investigated using X-ray photoelectron spectroscopy.

Reactive ion Etching Characterization of SiC Film Deposited by Thermal CVD Method for MEMS Application (MEMS 적용을 위한 Thermal CVD 방법에 의해 증착한 SiC막의 반응성 이온 Etching 특성 평가)

  • 최기용;최덕균;박지연;김태송
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.3
    • /
    • pp.299-304
    • /
    • 2004
  • In recent years, silicon carbide has emerged as an important material for MEMS application. In order to fabricate an SiC film based MEMS structure by using chemical etching method, high operating temperature is required due to high chemical stability Therefore, dry etching using plasma is the best solution. SiC film was deposited by thermal CVD at the temperature of 100$0^{\circ}C$ and pressure of 10 torr. SiC was dry etched with a reactive ion etching (RIE) system, using SF$_{6}$/O$_2$ and CF$_4$/O$_2$ gas mixture. Etch rate has been investigated as a function of oxygen concentration in the gas mixture, rf power, working pressure and gas flow rate. Etch rate was measured by surface profiler and FE-SEM. SF$_{6}$/O$_2$ gas mixture showed higher etch rate than CF$_4$/O$_2$ gas mixture. Maximum etch rate appeared at RF Power of 450W. $O_2$ dilute mixtures resulted in an increasing of etch rate up to 40%, and the superior anisotropic cross section was observe

Reactive ion etching characterization of SiC film deposited by thermal CVD method for MEMS application (MEMS 적용을 위한 thermal CVD 방법에 의해 증착한 SiC막의 etching 특성 평가)

  • Choi, Gi-Yong;Choi, Duck-Kyun;Park, Ji-Yeon;Kim, Tae-Song
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07b
    • /
    • pp.868-871
    • /
    • 2003
  • In recent years, silicon carbide has emerged as an important material for MEMS application. In order to fabricate an SiC film based MEMS structure by using chemical etching method, high operating temperature is required due to high chemical stability. Therefore, dry etching using plasma is the best solution. SiC film was deposited by thermal CVD at the temperature of $1000^{\circ}C$ and pressure of 10 torr. SiC was dry etched with a reactive ion etching (RIE) system, using $SF_6/O_2$ and $CF_4/O_2$ gas mixture. Etch rate have been investigated as a function of oxygen concentration in the gas mixture, RF power, and working pressure. Etch rate was measured by surface profiler and FE-SEM. $SF_6/O_2$ gas mixture has been shown high etch rate than $CF_4/O_2$ gas mixture. Maximum etch rate appeared at 450W of RF power. $O_2$ dilute mixtures resulted in an increasing of etch rate up to 40%, and the superior anisotropic cross section was observed.

  • PDF

Removal of Photoresist Mask after the Cl2/HBr/CF4 Reactive Ion Silicon Etching (Cl2/HBr/CF4 반응성 이온 실리콘 식각 후 감광막 마스크 제거)

  • Ha, Tae-Kyung;Woo, Jong-Chang;Kim, Gwan-Ha;Kim, Chang-Il
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.23 no.5
    • /
    • pp.353-357
    • /
    • 2010
  • Recently, silicon etching have received much attention for display industry, nano imprint technology, silicon photonics, and MEMS application. After the etching process, removing of etch mask and residue of sidewall is very important. The investigation of the etched mask removing was carried out by using the ashing, HF dipping and acid cleaning process. Experiment shows that oxygen component of reactive gas and photoresist react with silicon and converting them into the mask fence. It is very difficult to remove by using ashing or acid cleaning process because mask fence consisted of Si and O compounds. However, dilute HF dipping is very effective process for SiOx layer removing. Finally, we found optimized condition for etched mask removing.

Effect of plasma etching on DLC films prepared by RF-PECVD method (RF-PECVD법에 의해 합성된 DLC 박막에 대한 plasma etching의 영향에 대한 연구)

  • Oh, Chang-Hyun;Yun, Deok-Yong;Park, Yong-Seob;Cho, Hyung-Jun;Choi, Won-Seok;Hong, Byung-You
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.315-315
    • /
    • 2007
  • 본 논문에서는 DLC (Diamond-like carbon)박막이 가지는 높은 경도, 낮은 마찰계수, 전기적 절연성, 화학적 안정성 등의 특성을 이용하여, 리소그래피를 위한 resist나 hard coating물질로써 응용하기 위해, DLC 박막의 에칭에 관한 연구를 진행하였다. DLC 박막의 합성 과 에칭은 13.56 MHz RF plasma enhanced vapor deposition technique를 통해 이루어졌으며, DLC 박막은 150 W의 RF Power에서 메탄 $(CH_4)$과 수소$(H_2)$ 가스를 이용하여 약 300 nm의 두께로 제작되었으며, DLC박막의 에칭은 RF power의 변화 (50~250 W)와 산소 $(O_2)$가스의 유량변화 (5~25 sccm)에 따라 실시하였다. 에칭 되어진 DLC 박막의 표면 특성들은 AFM (atomic force microscopy)과 contact angle 장치를 사용하여 측정되었고, 측정된 결과로써 DLC 박막은 RF power와 산소 가스의 유량이 높을수록 etching rate는 증가하였고, 박막의 표면은 거칠어졌으며, 결국 DLC 표면에서는 산소에 의한 결합의 증가로 인해 친수성을 나타내었다.

  • PDF

Analysis of Chemical and Morphological Changes of Phenol Formaldehyde-based Photoresist Surface caused by O2 Plasma

  • Shutov, D.A.;Kang, Seung-Youl;Baek, Kyu-Ha;Suh, Kyung-Soo;Min, Nam-Ki;Kwon, Kwang-Ho
    • Transactions on Electrical and Electronic Materials
    • /
    • v.8 no.5
    • /
    • pp.211-214
    • /
    • 2007
  • Chemical and morphological changes of phenol formaldehyde-based photoresist after $O_2$ radiofrequency(RF) plasma treatment depending on exposure time and source power were investigated. It was found that etch rate of photoresist sharply increased after discharge turn on and reached a limit with increase in plasma exposure time. Contact angle measurements and X-ray photoelectron spectroscopy(XPS) analysis showed that the surface chemical structure become nearly constant after 15 sec of the treatment. Atomic force microprobe(AFM) measurements were shown that surface roughness was increased with plasma exposure time.

The Characteristics of Residual Films on Silicon Surface $CHF_3/C_2F_6$ Reactive Ion Etching ($CHF_3/C_2F_6$ 플라즈마에 의한 실리콘 표면 잔류막의 특성)

  • 권광호;박형호;이수민;강성준;권오준;김보우;성영권
    • Journal of the Korean Vacuum Society
    • /
    • v.1 no.1
    • /
    • pp.145-152
    • /
    • 1992
  • Si surfaces exposed to CHF3/C2F6 gas plasmas ih reactive ion etching (RIE) have been characterized by X-ray photoelectron spectroscopy (XPS). CHF3/C2F6 gas plasma exposure of Si surface leads to the deposition of residual film containing carbon and fluorine. The narrow scan spectra of C 1s show various bonding states of carbon as C-Si, C-F/H, C-CFx(x $\leq$ 3), C-F, C-F2, and C-F3. The chemical bonding states of fluorine are described with F-Si, F-C and F-O. And the oxygen and silicon are also detected. The effects of parameters for reactive ion etching as CHF3/C2F6 gas ratio, RF power, and pressure are investigated.

  • PDF

Characteristics of Amorphous Silicon Gate Etching in Cl2/HBr/O2 High Density Plasma (Cl2/HBr/O2 고밀도 플라즈마에서 비정질 실리콘 게이트 식각공정 특성)

  • Lee, Won Gyu
    • Korean Chemical Engineering Research
    • /
    • v.47 no.1
    • /
    • pp.79-83
    • /
    • 2009
  • In this study, the characteristics of amorphous silicon etching for the formation of gate electrodes have been evaluated at the variation of several process parameters. When total flow rates composed of $Cl_2/HBr/O_2$ gas mixtures increased, the etch rate of amorphous silicon layer increased, but critical dimension (CD) bias was not notably changed regardless of total flow rate. As the amount of HBr in the mixture gas became larger, amorphous silicon etch rate was reduced by the low reactivity of Br species. In the case of increasing oxygen flow rate, etch selectivity was increased due to the reduction of oxide etch rate, enhancing the stability of silicon gate etching process. However, gate electrodes became more sloped according to the increase of oxygen flow rate. Higher source power induced the increase of amorphous silicon etch rate and CD bias, and higher bias power had a tendency to increase the etch rate of amorphous silicon and oxide.

Photocatalyst Surface Properties of the Oxide Thin Films According to the Plasma Etching Process (플라즈마 에칭공정에 따른 산화물 박막의 광촉매 표면 특성)

  • Lee, Chang-Hyun;Seo, Sung-Bo;Oh, Ji-Yong;Jin, Ik-Hyeon;Sohn, Sun-Young;Kim, Hwa-Min
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.28 no.5
    • /
    • pp.300-305
    • /
    • 2015
  • $WO_3$, $SiO_2$, and $TiO_2$ films with hydrophilic property are deposited by rf-magnetron sputtering. Their wettability is strongly depends on the presence or absence of the oxygen plasma etching on the glass substrates. The $TiO_2$ film of 50 nm-thick on the plasma etched glass shows a water contact angle (WCA) below $5^{\circ}$ which means a super-hydrophilic surface. However, WCA values are gradually degraded when the films are exposed under atmosphere, especially $WO_3$. In order to improve hydrophilic property, the degraded films can be again recovered by UV illumination for 10 sec using UV-light and the $TiO_2$ film shows a super-hydrophilic surface about $3^{\circ}$.