• Title/Summary/Keyword: Oxide CMP

Search Result 154, Processing Time 0.034 seconds

Numerical Study on Polishing Behavior during Oxide CMP (Oxide CMP 과정에 대한 수치 유동 해석)

  • Kwon, Dal-Jung;Lee, Do-Hyung;Hong, Yi-Koan;Park, Jin-Goo
    • Proceedings of the KSME Conference
    • /
    • 2003.11a
    • /
    • pp.922-927
    • /
    • 2003
  • In this paper, slurry fluid motion, abrasive particle motion, and roles of groove patterns on the pads are numerically investigated in the 2D and 3D geometries. The simulation results are analyzed in terms of experimental removal rate and WIWNU (within wafer non-uniformity) for ILD (inter level dielectric) CMP process. Numerical investigations reveal that the grooves in the pad behave as uniform distributor of abrasive particles and enhance the removal rate by increasing shear stress. Higher removal rate and desirable uniformity are numerically and experimentally observed at the pad with grooves. Numerical analysis is very well matched with experimental results and helpful for understanding polishing mechanism and local physics.

  • PDF

Advanced Pad Conditioner Design for Oxide/Metal CMP

  • Hwang Tae-Wook;Baldoni Gary;Tanikella Anand;Puthanangady Thomas
    • Transactions on Electrical and Electronic Materials
    • /
    • v.7 no.2
    • /
    • pp.62-66
    • /
    • 2006
  • Advanced CMP conditioner design requires investigations of key conditioner manufacturing parameters and their effects on pad surface and then wafer performance. In the present investigation, diamond shape, concentration, distribution, and other key manufacturing parameters are considered to improve CMP process stability and conditioner life. Self avoiding random distribution ($SARD^{TM}$) of diamond abrasives has been developed and both numerical simulation and experimental results show very stable and reliable polishing performance.

Device Wafer의 평탄화와 AFM에 의한 평가

  • 김호윤;정해도
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 1996.11a
    • /
    • pp.167-171
    • /
    • 1996
  • Chemical mechanical polishing (CMP) has become widely accepted for the planarization of multi-interconnect structures in semiconductor manufacturing. However, perfect planarization is not so easily achieved because it depends on the pattern sensitivity, the large number of controllable process parameters, and the absence of a reliable process model, etc. In this paper, we realized the planarization of deposited oxide layers followed by metal (W) polishing as a replacement for tungsten etchback process for via formation. Atomic force microscope (AFM) is used for the evaluation of pattern topography during CMP. As a result, AFM evaluation is very attractive compared to conventional methods for the measurement of planarity. Moreover, it will contribute to analyze planarization characteristics and establish CMP model.

  • PDF

Effect of the Nano Ceria Slurry Characteristics on end Point Detection Technology for STI CMP (STI CMP용 가공종점 검출기술에서 나노 세리아 슬러리 특성이 미치는 영향)

  • 김성준;강현구;김민석;백운규;박재근
    • Journal of the Semiconductor & Display Technology
    • /
    • v.3 no.1
    • /
    • pp.15-20
    • /
    • 2004
  • Through shallow trench isolation (STI) chemical mechanical polishing (CMP) tests, we investigated the dependence of pad surface temperature on the abrasive and additive concentrations in ceria slurry under varying pressure using blanket film wafers. The pad surface temperature after CMP increased with the abrasive concentration and decreased with the additive concentration in slurries for the constant down pressure. A possible mechanism is that the additive adsorbed on the film surfaces during polishing decreases the friction coefficient, hence the pad surface temperature gets lower with increasing the additive concentration. This difference in temperature was more remarkable for the higher concentration of abrasives. In addition, in-situ measurement of spindle motor was carried out during oxide and nitride polishing. The averaged motor current for oxide film was higher than that for nitride film, meaning the higher friction coefficient.

  • PDF

A Study on the Oxide CMP Characteristics using $ZrO_2$ -Diluted Silica Slurry($ZrO_2$ -DSS) ($ZrO_2$ - DSS의 CMP 특성에 관한 연구)

  • Lee, Sung-Il;Park, Sung-Woo;Lee, Woo-Sun;Seo, Yong-Jin
    • Proceedings of the KIEE Conference
    • /
    • 2006.10a
    • /
    • pp.85-86
    • /
    • 2006
  • Chemical mechanical polishing (CMP) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, the cost of ownership and cost of consumables arc relatively high because of expensive slurry. In this paper, in order to save the costs of slurry, the original silica slurry was diluted by de-ionized water (DIW). And then, $ZrO_2$, abrasives were added in the diluted silica slurry (DSS) in order to promote the mechanical force of diluted slurry. We have also investigate the possibility of mixed abrasive slurry (MAS) for the oxide CMP application.

  • PDF

Investigation on DHF Application at Metal CMP Cleaning Process (Metal CMP 세정 공정에서 DHF 적용에 관한 연구)

  • 김남훈;김상용;김인표;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.7
    • /
    • pp.569-572
    • /
    • 2003
  • In this study, we evaluated the dilute HF cleaning to reduce residual defects made by metal CMP process. The purpose of this test is to observe the existence of barrier metal damage during DHF cleaning on condition that it should not affect metal thin film reliability, so we will get rid of slurry residual particles as a main defect of the metal CMP process for the better yield. In-line defect data showed us that slurry residual particles were removed by DHF application. The HF rinse significantly reduced metal contamination levels and surface roughness. The best effect by additional oxide loss was discovered when Dilute HF condition is 10".

Oxide Thickness Measurement of CMP Test Wafer by Dispersive White-light Interferometry (분산형 백색광 간섭계를 이용한 CMP 테스트 웨이퍼의 $SiO_2$ 두께 측정)

  • Park, Boum-Young;Kim, Young-Jin;Jeong, Hae-Do;Ghim, Young-Sik;You, Joon-Ho;Kim, Seung-Woo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.86-87
    • /
    • 2007
  • The dispersive method of white-light interferometry is proper for in-line 3-D inspection of dielectric thin-film thickness to be used in the semiconductor and flat-panel display industry. This research is the measurement application of CMP patterned wafer. The results describe 3-D and 2-D profile of the step height during polishing time.

  • PDF

Effect of Sliding Distance and Temperature on Material Non-uniformity in Oxide CMP (Oxide CMP에서 Sliding Distance와 온도가 재료제거와 연마 불균일도에 주는 영향)

  • Kim, Young-Jin;Park, Boum-Young;Cho, Han-Chul;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.555-556
    • /
    • 2007
  • Through the single head kinematics, sliding distance is a movement of a pad within wafer. The sliding distance is very important to frictional heat, material removal, and so on. A Temperature distribution is similar to sliding distance. But is not same. Because of complex process factor in CMP. A platen velocity is a dominant factor in a temperature and material removal. WIWNU is low in head faster condition.

  • PDF

Numerical Study on Polishing Behavior During Oxide CMP (Oxide CMP과정에 대한 수치 운동 해석)

  • Kwon Daljung;Kim Inhwan;Lee Dohyung
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.29 no.4 s.235
    • /
    • pp.435-440
    • /
    • 2005
  • In this paper, slurry fluid motion, abrasive particle motion, and roles of groove patterns on the pads are numerically investigated in the 2D and 3D geometries. The simulation results are analyzed in terms of experimental removal rate and WIWNU (Within Wafer Non-Uniformity) for ILD (Inter Level Dielectric) CMP process. Numerical investigations reveal that the grooves in the pad behave as uniform distributor of abrasive particles and enhance the removal rate by increasing shear stress. Higher removal rate and desirable uniformity are numerically and experimentally observed at the pad with grooves. Numerical analysis is very well matched with experimental results and helpful fur understanding polishing mechanism and local physics.

Improvement of Oxide-Mechanical Polishing Characteristics According to the Ceria Abrasive Adding (세리아 연마제 첨가량에 따른 산화막 CMP 특성 고찰)

  • Han, Sang-Jun;Park, Sung-Woo;Lee, Woo-Sun;Sea, Yong-Jin
    • Proceedings of the KIEE Conference
    • /
    • 2006.10a
    • /
    • pp.87-88
    • /
    • 2006
  • To investigate the possibility of ceria abrasive-added slurry for the oxide-chemical mechanical polishing (oxide-CMP) application, two kinds of retreated methods were introduced as follows: First, the characteristics of mixed abrasive slurry (MAS) using $CeO_2$ powder as an abrasive added within diluted silica slurry (DSS) were evaluated to achieve the improvement of removal rates and non-uniformity. Second, the control of pH level due to the dilution of slurry was examined. And then, we have discussed the CMP characteristics as a function of abrasive dispersion time.

  • PDF