• 제목/요약/키워드: Low Power

검색결과 14,376건 처리시간 0.045초

대규모 PC 네트워크의 원격 전원 관리 시스템 (Remote Power Management System for Large Scale PC Network)

  • 황기태;이재문
    • 한국인터넷방송통신학회논문지
    • /
    • 제15권4호
    • /
    • pp.71-78
    • /
    • 2015
  • 대학 등의 교육 기관은 대량의 PC들을 갖추고 있으므로, 이들의 전원 상태를 관리하지 않으면 많은 전력을 낭비할 수 있다. 본 논문은 대학 내 PC들에 대해, 원격으로 PC 들의 상태를 모니터링하여 PC의 전원 상태를 확인하거나, PC 전원을 끌 수 있는 전원 관리 시스템(Remote Power Manager, RPM)을 개발한 사례를 소개한다. RPM은 PC에서 독립적으로 전원을 관리하는 Power Controller 소프트웨어 모듈과, 전체 PC의 전원 상태를 관리하는 Power Server, 그리고 관리자가 각 PC의 전원 상태를 보거나 끌 수 있는 Power Viewer 소프트웨어 모듈로 구성된다. RPM 시스템을 설치하여 대학 내에서 실험한 결과 40% 정도 에너지를 절약하는 결과를 얻었다.

대기전력 최소화를 위한 교류전압 입력에 따른 저전압 구동회로 설계 (Standby Power Reduction Technique due to the Minimization of voltage difference between input and output in AC 60Hz)

  • 서길수;김기현;김형우;이경호;김종현
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2015년도 제46회 하계학술대회
    • /
    • pp.1018-1019
    • /
    • 2015
  • Recently, standby power reduction techniques of AC/DC adaptor were developed, consuming power almost arrived to 300mW level. The standby power losses are composed of the input filter loss 11.8mW, the control IC for AC/DC adaptor 18mW, the switching loss 9.53mW and the feedback loss 123mW. And there are the standby power reduction techniques. In this paper, in order to reduce the standby power of SMPS more, the loss due to a voltage difference between input and output is reduced by the control circuit which is composed of the low voltage driving circuit and voltage regulator. The low voltage driving circuit operates on the low voltage of input and off the high voltage. The low voltage driving IC was produced by the $1.0{\mu}m$, high voltage DMOS process.

  • PDF

An Efficient MPEG-4 Video Codec using Low-power Architectural Engines

  • Bontae Koo;Park, Juhyun;Park, Seongmo;Kim, Seongmin;Nakwoong Eum
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2002년도 ITC-CSCC -2
    • /
    • pp.1308-1311
    • /
    • 2002
  • We present a low-power MPEG-4 video codec chip capable of delivering high-quality video data in wireless multimedia applications. The discussion will focus on the architectural design techniques for implementing a high-performance video compression/decompression chip at low power architectures. The proposed MPEG-4 video codec can perform 30 frames/s of QCIF or 7.5 frame/s of CIF at 27MHz for 128k∼144kbps. By introducing the efficiently optimized Frame Memory Interface architecture, low power motion estimation and embedded ARM microprocessor and AMBA interface, the proposed MPEG-4 video codec has low power consumption for wireless multimedia applications such as IMT-2000.

  • PDF

CLB 구조의 CPLD 저전력 기술 매핑 알고리즘 (A CLB based CPLD Low-power Technology Mapping Algorithm)

  • 김재진;윤충모;인치호;김희석
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2003년도 하계종합학술대회 논문집 II
    • /
    • pp.1165-1168
    • /
    • 2003
  • In this paper, a CLB-based CPLD low-power technology mapping algorithm is proposed. To perform low power technology mapping for CPLD, a given Boolean network have to be represented to DAG. The proposed algorithm are consist of three step. In the first step, TD(Transition Density) calculation have to be performed. In the second step, the feasible clusters are generated by considering the following conditions: the number of output, the number of input and the number of OR-terms for CLB(Common Logic Block) within a CPLD. The common node cluster merging method, the node separation method, and the node duplication method are used to produce the feasible clusters. In the final step, low power technology mapping based on the CLBs is packing the feasible clusters into the several proper CLBs. Therefore the proposed algorithm is proved an efficient algorithm for a low power CPLD technology mapping.

  • PDF

System-On-a-Chip(SOC)에 대한 효율적인 테스트 데이터 압축 및 저전력 스캔 테스트 (Low Power Scan Testing and Test Data Compression for System-On-a-Chip)

  • 정준모;정정화
    • 대한전자공학회논문지SD
    • /
    • 제39권12호
    • /
    • pp.1045-1054
    • /
    • 2002
  • System-On-a-Chip(SOC)에 대하여 테스트 데이터 압축 및 저전력 스캔테스팅에 대한 새로운 알고리즘을 제안하였다. 스캔벡터내의 don't care 입력들을 저전력이 되도록 적절하게 값을 할당하였고 높은 압축율을 갖도록 적응적 인코딩을 적용하였다. 또한 스캔체인에 입력되는 동안 소모되는 scan-in 전력소모를 최소화하도록 스캔벡터의 입력 방향을 결정하였다. ISCAS 89 벤치마크 회로에 대하여 실험한 결과는 평균전력 소모는 약 12% 감소되었고 압축율은 약 60%가 향상됨을 보였다.

시간제약 조건하에서 재사용 모듈 설계를 통한 CPLD 저전력 기술 매핑 (CPLD Low Power Technology Mapping for Reuse Module Design under the Time Constraint)

  • 강경식
    • 디지털산업정보학회논문지
    • /
    • 제4권3호
    • /
    • pp.77-83
    • /
    • 2008
  • In this paper, CPLD low power technology mapping for reuse module design under the time constraint is proposed. Traditional high-level synthesis do not allow reuse of complex, realistic datapath component during the task of scheduling. On the other hand, the proposed algorithm is able to approach a productivity of the design the low power to reuse which given a library of user-defined datapath component and to share of resource sharing on the switching activity in a shared resource. Also, we are obtainable the optimal the scheduling result in experimental results of our using chaining and multi-cycling in the scheduling techniques. Low power circuit make using CPLD technology mapping algorithm for selection reuse module by scheduling.

A Low-area and Low-power 512-point Pipelined FFT Design Using Radix-24-23 for OFDM Applications

  • Yu, Jian;Cho, Kyung-Ju
    • 한국정보전자통신기술학회논문지
    • /
    • 제11권5호
    • /
    • pp.475-480
    • /
    • 2018
  • In OFDM-based systems, FFT is a critical component since it occupies large area and consumes more power. In this paper, we present a low hardware-cost and low power 512-point pipelined FFT design method for OFDM applications. To reduce the number of twiddle factors and to choose simple design architecture, the radix-$2^4-2^3$ algorithm are exploited. For twiddle factor multiplication, we propose a new canonical signed digit (CSD) complex multiplier design method to minimize the hardware-cost. In hardware implementation with Intel FPGA, the proposed FFT design achieves more than about 28% reduction in gate count and 18% reduction in power consumption compared to the previous approaches.

Performance Evaluation of Real-time Linux for an Industrial Real-time Platform

  • Jo, Yong Hwan;Choi, Byoung Wook
    • International journal of advanced smart convergence
    • /
    • 제11권1호
    • /
    • pp.28-35
    • /
    • 2022
  • This paper presents a performance evaluation of real-time Linux for industrial real-time platforms. On industrial platforms, multicore processors are popular due to their work distribution efficiency and cost-effectiveness. Multicore processors, however, are not designed for applications with real-time constraints, and their performance capabilities depend on their core configurations. In order to assess the feasibility of a multicore processor for real-time applications, we conduct a performance evaluation of a general processor and a low-power processor to provide an experimental environment of real-time Linux on both Xenomai and RT-preempt considering the multicore configuration. The real-time performance is evaluated through scheduling latency and in an environment with loads on the CPU, memory, and network to consider an actual situation. The results show a difference between a low-power and a general-purpose processor, but from developer's point of view, it shows that the low-power processor is a proper solution to accommodate low power situations.

A Low Distortion and Low Dissipation Power Amplifier with Gate Bias Control Circuit for Digital/Analog Dual-Mode Cellular Phones

  • Maeng, Sung-Jae;Lee, Chang-Seok;Youn, Kwang-Jun;Kim, Hae-Cheon;Mun, Jae-Kyung;Lee, Jae-Jin;Pyun, Kwang-Eui
    • ETRI Journal
    • /
    • 제19권2호
    • /
    • pp.35-47
    • /
    • 1997
  • A power amplifier operating at 3.3 V has been developed for CDMA/AMPS dual-mode cellular phones. It consists of linear GaAs power MESFET's, a new gate bias control circuit, and an output matching circuit which prevents the drain terminal of the second MESF from generating the harmonics. The relationship between the intermodulation distortion and the spectral regrowth of the power amplifier has been investigated with gate bias by using the two-tone test method and the adjacent channel leakage power ratio (ACPR) method of CDMA signals. The dissipation power of the power amplifier with a gate bias control circuit is minimized to below 1000 mW in the range of the low power levels while satisfying the ACPR of less than -26 dBc for CDMA mode. The ACPR of the power amplifier is measured to be -33 dBc at a high output power of 26 dBm.

  • PDF

저전력 패시브 트랜스폰더의 전원 모듈에 대한 설계와 분석 (Design and analysis of Power supply module in the low power passive transponder)

  • 양경록;김광수;진인수;김종범;김양모
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1999년도 하계학술대회 논문집 F
    • /
    • pp.2647-2649
    • /
    • 1999
  • Electric power system is consisted of power supply and power enable circuit. Power supply provides operating voltage with internal chip. Depending on the operating voltage, power enable circuit provides operating signal, PWREN. Because energy is obtained from signal of external station, passive transponder must have the low power consumption. In this paper, the power supply module of the low power transponder is designed and analyzed.

  • PDF