• 제목/요약/키워드: Inductively Coupled Plasma Reactive Ion Etching

검색결과 63건 처리시간 0.034초

Floating potential에서 유도결합 플라즈마 식각에 의한 GaAs(100) 표면의 형태 변화 (Morphological Evolution of GaAs(100) Surfaces during Inductively Coupled Plasma Etching at Floating Potential)

  • 이상호
    • 한국진공학회지
    • /
    • 제16권1호
    • /
    • pp.15-22
    • /
    • 2007
  • $BCl_3-Cl_2$ 플라즈마에서 이온 강화 식각 시 source power에 따른GaAs(100)의 표면 형태 변화를 연구하였다. Floating potential에서는 이온 포격(bombardment)이 거의 없기 때문에, 화학적 반응에 의존한 순수한 습식 식각에 의해 나타나는 것과 같이 <110> 능선과 {111} facet으로 이루어진 표면이 관찰 되었다. 이러한 형태는 식각 시작후 1분 이내에 형성되기 시작하여 시간이 지남에 따라 커진다. 동일한 압력에서 source power를 변화시키면 식각된 표면이 다른 형태를 보인다. 100 W 정도의 낮은 source power에서는 결정학적 표면이 형성되지 않지만, 900 W 정도의 높은 source power에서는 결정학적 표면이 잘 형성된다. 이것은 건식 식각에 필수적인 여기된 반응성 물질의 양이 source power에 크게 좌우되기 때문이다. 높은 source power에서는 반응성 물질의 농도가 높아지고, 열역학적으로 가장 안정한 GaAs(100) 표면이 형성 된다. 반면에 반응성 물질이 부족할 경우에는 표면 형태는 sputtering에 의해 결정된다. Scaling theory에 기초한 표면의 통계적 분석 적용 시, 두개의 spatial exponent가 발견 되었다. 하나는 1 보다 작고 원자 수준의 표면형태 형성 기구에 의해 결정되고, 다른 하나는 1보다 크며 facet 형성 기구와 같이 큰 규모의 형태 형성 기구에 의한 결과로 생각된다.

유도결합 플라즈마 식각시 bias에 의한 GaAs(100) 표면의 형태 변화 (Morphology Evolution of GaAs(100) Surfaces during Inductively Coupled Plasma Etching at Biased Potential)

  • 이상호
    • 한국진공학회지
    • /
    • 제16권4호
    • /
    • pp.250-261
    • /
    • 2007
  • [ $BCl_3-Cl_2$ ] 플라즈마에서 GaAs(100)의 이온 강화 식각 시 source power에 따른 표면 형태 변화를 연구하였다. Floating 전위에서는 이온 포격(bombardment)이 거의 없고, 화학적 반응에 의존한 순수한 습식 식각에 의해 나타나는 것과 같이 <110> 능선과 {111} 표면으로 이루어졌다. 900 W 정도의 높은 source power에서는 결정학적 표면이 잘 형성되지만, 100 W 정도의 낮은 source power에서는 결정학적 표면이 형성되지 않는다. 이것은 건식 식각에 필수적인 Cl 원자와 같은 여기된 반응성 물질의 양이 source power에 크게 좌우되기 때문이다. 높은 source power에서는 반응성 물질의 농도가 높아지고, GaAs(100) 표면은 열역학적으로 가장 안정한 표면이 된다. 반면에 반응성 물질이 부족할 경우에는 표면 형태는 sputtering에 의해 결정된다. Scaling theory에 기초한 표면의 통계적 분석 적용 시, 두 개의 spatial exponent가 발견 되었다. 하나는 1 보다 작고 원자 수준의 표면형태 형성 기구에 의해 결정되고, 다른 하나는 1보다 크며 facet 형성 기구와 같이 큰 규모의 형태 형성 기구에 의한 결과로 생각된다. 시료들에 bias가 인가 되면, 표면에 포격이 일어난다. 그 결과 높은source power에서 능선 형성이 억제되고, 낮은 source power에서는 섬들의 형성이 억제된다.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

제조공법에 따른 디스플레이 소자용 silver-grid 투명전극층의 특성 비교 (Comparison of characteristics of silver-grid transparent conductive electrodes for display devices according to fabrication method)

  • 최병수;최석환;류정호;조현
    • 한국결정성장학회지
    • /
    • 제27권2호
    • /
    • pp.75-79
    • /
    • 2017
  • 고밀도 플라즈마 식각 및 lift-off 두 가지 공정으로 honeycomb 형상의 Ag-grid 투명전극층을 제작하였고 제조 공법에 따른 광학적 및 전기적 특성을 비교하였다. 플라즈마 식각 조건 선정을 위하여 Ag 박막의 $10CF_4/5Ar$ 유도결합 플라즈마 식각특성을 조사하였다. 비교적 낮은 ICP source power 또는 rf chuck power 영역에서는 power 증가에 따라 Ag 식각속도가 증가하였고, 높은 power 조건에서는 $Ar^+$ 이온 에너지 감소 또는 $Ar^+$ 이온에 의한 F radical 제거로 인해 식각속도가 감소하였다. $10CF_4/5Ar$ 플라즈마 식각 공정에 의해 제작된 Ag-grid 전극층은 lift-off 공정으로 제작된 전극층에 비해 grid 패턴 형상의 왜곡이나 단절이 없는 더 우수한 grid 패턴 전사 효율과 가시광선 영역에서 더 높은 83.3 %(pixel 크기 $30{\mu}m$/선폭 $5{\mu}m$)와 71 %(pixel 크기 $26{\mu}m$/선폭 $8{\mu}m$)의 광투과율을 각각 나타내었다. 반면에 lift-off 공정으로 제작된 Ag-grid 전극층은 플라즈마 식각 공정 시편보다 더 우수한 $2.163{\Omega}/{\square}$(pixel 크기 $26{\mu}m$/선폭 $8{\mu}m$)과 $4.932{\Omega}/{\square}$(pixel 크기 $30{\mu}m$/선폭 $5{\mu}m$)의 면저항 특성을 나타내었다.

$SnO_2$ 나노와이어를 이용한 NOx 가스센서 제작 및 특성평가 (Fabrication and Characteristic of NOx Gas Sensor by Using $SnO_2$ Nanowires)

  • 강교성;권순일;박재환;양계준;임동건
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 추계학술대회 논문집
    • /
    • pp.40-41
    • /
    • 2007
  • $SnO_2$ nanowires are used at the nanoscale level for the electrical transduction of the gas interaction with these sensing materials. We report on a study of high sensitivity and fast NOx gas sensor. We focused on improving the response time and refresh time by growth nanowires on the trench structure of Si substrate as air path. To improve refresh time we applied the trench structure with depth of $10\;{\mu}m$ by the inductively coupled plasma reactive ion etching(ICP-RIE). The fabricated device was measured at temperature of $200{\sim}300^{\circ}C$. The sensor exhibit ultra-fast and reversible electrical response (t90% ~4 s for response and ~3 s for recovery).

  • PDF

CoFeB과 IrMn 자성 박막의 고밀도 반응성 이온 식각

  • 김은호;소우빈;공선미;정용우;정지원
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2009년도 제38회 동계학술대회 초록집
    • /
    • pp.232-232
    • /
    • 2010
  • 정보화 산업의 발달은 DRAM, flash memory 등을 포함한 기존의 반도체 메모리 소자를 대체할 수 있는 차세대 메모리 소자에 대한 개발을 요구하고 있다. 특히 magnetic random access memory (MRAM)는 SRAM과 대등한 고속화 그리고 DRAM 보다 높은 기록 밀도가 가능하고 낮은 동작 전압과 소비전력 때문에 대표적인 차세대 비휘발성 메모리로 주목받고 있다. 또한 MRAM소자의 고집적화를 위해서 우수한 프로파일을 갖고 재증착이 없는 나노미터 크기의 magnetic tunnel junction (MTJ) stack의 건식 식각에 대한 연구가 선행되어야 한다. 본 연구에서는 고밀도 반응성 이온 식각법(Inductively coupled plasma reactive ion etching; ICPRIE)을 이용하여 재증착이 없이 우수한 식각 profile을 갖는 CoFeB과 IrMn 박막을 형성하고자 하였다. Photoresist(PR) 및 Ti 박막의 두 가지 마스크를 이용하여 HBr/Ar, HBr/$O_2$/Ar 식각 가스들의 농도를 변화시키면서 CoFeB과 IrMn 박막의 식각 특성들이 조사되었다. 자성 박막과 동일한 조건에 대하여 hard mask로서 Ti가 식각되었다. 좋은 조건을 얻기 위해 HBr/Ar 식각 가스를 이용 식각할 때 pressure, bias voltage, rf power를 변화시켰고 식각조건에서 Ti 하드마스크에 대한 자성 박막들의 selectivity를 조사하고 식각 profile을 관찰하였다. 식각 속도를 구하기 위해 alpha step(Tencor P-1)이 사용되었고 또한 field emission scanning electron microscopy(FESEM)를 이용하여 식각 profile을 관찰함으로써 최적의 식각 가스와 식각 조건을 찾고자 하였다.

  • PDF

펄스 플라즈마를 이용한 라디칼 제어에 의한 실리콘 건식 식각시 RIE lag 개선에 관한 연구

  • 박완재;황기웅
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.285-285
    • /
    • 2012
  • 본 논문에서는 HBr, O2 gas를 사용하여 나노급 반도체 디바이스에 응용되는 실리콘 트렌치 패턴의 건식 식각시 중요한 인자중의 하나인 RIE (Reactive Ion Etching) Lag현상에 관하여 연구하였다. 실험에서 사용된 식각 장치는 유도 결합 플라즈마(Inductively Coupled Plasma) 식각 장치로써, Source Power및 기판에 인가되는 Bias power 모두 13.56 MHz로 구동되는 장치이며, Source Power와 Bias Power 각각에 펄스 플라즈마를 인가할 수 있도록 제작 되어있다. HBr과 O2 gas를 사용한 트렌치 식각 중 발생하는 식각 부산물인 SiO는 프로파일 제어에 중요한 역할을 함과 동시에, 표면 산화로 인해 Trench 폭을 작게 만들어 RIE lag를 심화시킨다. Br은 실리콘을 식각하는 중요한 라디칼이며, SiO는 실리콘과 O 라디칼의 반응으로부터 형성되는 식각 부산물이다. SiO가 많으면, 실리콘 표면의 산화가 많이 진행될 것을 예측할 수 있으며, 이에 따라 RIE lag도 나빠지게 된다. 본 실험에서는 Continuous Plasma와 Bias Power의 펄스, Source Power의 펄스를 각각 적용하고, 각각의 경우 Br과 SiO 라디칼의 농도를 Actinometrical OES (Optical Emission Spectroscopy) tool을 사용하여 비교하였다. 두 라디칼 모두 Continuous Plasma와 Bias Power 펄스에 의해서는 변화가 없는 반면, Source Power 펄스에 의해서만 변화를 보였다. Source Power 값이 증가함에 따라 Br/SiO 라디칼 비가 증가함을 알 수 있었고, 표면 산화가 적게 형성됨을 예측할 수 있다. 이 조건의 경우, Continuous Plasma대비 Source Power 펄스에 의하여 RIE lag가 30.9 %에서 12.8 %로 현격히 개선된 결과를 얻을 수 있었다. 또한, 식각된 실리콘의 XPS 분석 결과, Continuous Plasma대비 Source Power 펄스의 경우 표면 산화층이 적게 형성되었음을 확인할 수 있었다. 따라서, 본 논문에서는 식각 중 발생한 Br과 SiO 라디칼을 Source Power펄스에 의한 제어로 RIE lag를 개선할 수 있으며, 이러한 라디칼의 변화는 Actinometrical OES tool을 사용하여 검증할 수 있음을 보여준다.

  • PDF

Cl2/Ar 플라즈마를 이용한 ZnO 박막의 식각 특성 (Etch Characteristics of Zinc Oxide Thin Films in a Cl2/Ar Plasma)

  • 민수련;이장우;조한나;정지원
    • 공업화학
    • /
    • 제18권1호
    • /
    • pp.24-28
    • /
    • 2007
  • $Cl_2/Ar$ 가스의 고밀도 플라즈마를 이용하여 ZnO 박막에 대한 식각이 연구되었다. $Cl_2$ 가스의 농도, coil rf power, dc-bias 전압, 그리고 공정 압력을 변화시켜서 ZnO 박막의 식각특성을 체계적으로 조사하였다. $Cl_2$ 가스의 농도가 증가할수록 ZnO 박막의 식각 속도는 증가하였고, 식각된 패턴 주변의 재증착은 감소되었지만 식각된 패턴의 측면 경사는 낮아졌다. Coil rf power와 dc-bias 전압이 증가할수록 ZnO 박막의 식각 속도가 증가하였고, 식각 프로파일이 개선되었다. 공정 압력이 증가 할수록 ZnO 박막의 식각 속도가 미세하게 증가하였으나 식각 프로파일의 변화는 관찰되지 않았다. 이러한 결과들을 토대로 하여 ZnO 박막의 최적의 식각 조건이 설정되었다. 재증착이나 잔류물이 없이 대략 $75^{\circ}{\sim}80^{\circ}$의 높은 이방성 식각을 갖는 ZnO 박막의 식각이 20% $Cl_2$ 가스의 농도, 1000 W의 coil rf power, 400 V의 dc-bias 전압, 그리고 5 mTorr의 공정 압력에서 성공적으로 이루어졌다.

자성 메모리의 적용을 위한 나노미터 크기로 패턴된 Magnetic Tunnel Junction의 식각 특성 (Etch Characteristics of Magnetic Tunnel Junction Stack Patterned with Nanometer Size for Magnetic Random Access Memory)

  • 박익현;이장우;정지원
    • 공업화학
    • /
    • 제16권6호
    • /
    • pp.853-856
    • /
    • 2005
  • 자성 메모리반도체의 핵심 소자인 magnetic tunnel junction (MTJ) stack에 대한 고밀도 유도결합 플라즈마 반응성 식각이 연구되었다. MTJ stack은 electron(e)-beam lithography 공정을 사용하여 나노미터 크기의 패턴 형성이 되었으며 식각을 위한 하드 마스크(hard mask)로서 TiN 박막이 이용되었다. TiN 박막은 Ar, $Cl_2/Ar$, 그리고 $SF_6/Ar$들의 가스를 사용하여 식각공정이 연구되었다. E-beam lithography로 패턴된 TiN/MTJ stack은 첫 번째 단계로 TiN 하드 마스크가 식각되고 두 번째로 MTJ stack이 식각되어 완성되었다. MTJ stack은 Ar, $Cl_2/Ar$, $BCl_3/Ar$을 이용하여 식각되었으며 각각의 가스농도와 가스 압력을 변화시켜 MTJ stack의 식각특성이 조사되었다.

Patterned substrate을 이용하여 MOCVD법으로 성장된 고효율 질화물 반도체의 광특성 및 구조 분석 (Investigation of Structural and Optical Properties of III-Nitride LED grown on Patterned Substrate by MOCVD)

  • 김선운;김제원
    • 한국재료학회지
    • /
    • 제15권10호
    • /
    • pp.626-631
    • /
    • 2005
  • GaN-related compound semiconductors were grown on the corrugated interface substrate using a metalorganic chemical vapor deposition system to increase the optical power of white LEDs. The patterning of substrate for enhancing the extraction efficiency was processed using an inductively coupled plasma reactive ion etching system and the surface morphology of the etched sapphire wafer and that of the non-etched surface were investigated using an atomic force microscope. The structural and optical properties of GaN grown on the corrugated interface substrate were characterized by a high-resolution x-ray diffraction, transmission electron microscopy, atomic force microscope and photoluminescence. The roughness of the etched sapphire wafer was higher than that of the non-etched one. The surface of III-nitride films grown on the hemispherically patterned wafer showed the nano-sized pin-holes that were not grown partially. In this case, the leakage current of the LED chip at the reverse bias was abruptly increased. The reason is that the hemispherically patterned region doesn't have (0001) plane that is favor for GaN growth. The lateral growth of the GaN layer grown on (0001) plane located in between the patterns was enhanced by raising the growth temperature ana lowering the reactor pressure resulting in the smooth surface over the patterned region. The crystal quality of GaN on the patterned substrate was also similar with that of GaN on the conventional substrate and no defect was detected in the interface. The optical power of the LED on the patterned substrate was $14\%$ higher than that on the conventional substrate due to the increased extraction efficiency.