• Title/Summary/Keyword: High-level synthesis

Search Result 397, Processing Time 0.023 seconds

High -Level Synthesis for Asynchronous Systems using Transformational Approaches (변형기법을 이용한 비동기 시스템의 상위수준 합성기법)

  • 유동훈;이동익
    • Proceedings of the IEEK Conference
    • /
    • 2002.06b
    • /
    • pp.105-108
    • /
    • 2002
  • Although asynchronous designs have become a promising way to develop complex modern digital systems, there is a few complete design framework for VLSI designers who wish to use automatic CAD tools. Especially, high-level synthesis is not widely concerned until now. In this paper we Proposed a method for high-level synthesis of asynchronous systems as a part of an asynchronous design framework. Our method performs scheduling, allocation, and binding, which are three subtasks of high-level synthesis, in simultaneous using a transformational approach. To deal with complexity of high-level synthesis we use neighborhood search algorithm such as Tabu search.

  • PDF

An Efficient Resource-constrained Scheduling Algorithm (효율적 자원제한 스케줄링 알고리즘)

  • 송호정;정회균;황인재;송기용
    • Proceedings of the Korea Institute of Convergence Signal Processing
    • /
    • 2001.06a
    • /
    • pp.73-76
    • /
    • 2001
  • High-level synthesis generates a structural design that implements the given behavior and satisfies design constraints for area, performance, power consumption, packaging, testing and other criteria. Thus, high-level synthesis generates that register-transfer(RT) level structure from algorithm level description. High-level synthesis consist of compiling, partitioning, scheduling. In this paper, we proposed the efficient scheduling algorithm that find the number of the functional unit and scheduling into the minimum control step with silicon area resource constrained.

  • PDF

Function-level module sharing techniques in high-level synthesis

  • Nishikawa, Hiroki;Shirane, Kenta;Nozaki, Ryohei;Taniguchi, Ittetsu;Tomiyama, Hiroyuki
    • ETRI Journal
    • /
    • v.42 no.4
    • /
    • pp.527-533
    • /
    • 2020
  • High-level synthesis (HLS), which automatically synthesizes a register-transfer level (RTL) circuit from a behavioral description written in a high-level programming language such as C/C++, is becoming a more popular technique for improving design productivity. In general, HLS tools often generate a circuit with a larger area than those of hand-designed ones. One reason for this issue is that HLS tools often generate multiple instances of the same module from a function. To eliminate such a redundancy in circuit area in HLS, HLS tools are capable of sharing modules. Function-level module sharing at a behavioral description written in a high-level programming language may promote function reuse to increase effectiveness and reduce circuit area. In this paper, we present two HLS techniques for module sharing at the function level.

A Study on High-Level Pipeline Synthesis System: Data Path Synthesis and Control Synthesis (상위수준 파이프라인 합성시스템에 관한 연구: 데이트 경로 및 콘트롤 합성)

  • Kim, Jong-Tae
    • Journal of the Korean Society of Industry Convergence
    • /
    • v.3 no.4
    • /
    • pp.299-306
    • /
    • 2000
  • 이 논문은 파이프라인 함성을 위한 상위수준 데이터 경로 하성과 콘트롤 합성의 통합에 관한 연구이다. 현재 대부분의 상위수준 합성 방법은 콘트롤 영역의 영향을 무시하는데 보다 나은 설계를 위하여 데이터 경로디자인 영역과 콘트롤 디자인 영역을 통합하여 탐색하는 파이프라인 상위수준함성 도구를 구현했다. 이 도구는 비용 제한 하에서 최고 성능의 파이프라인을 합성하는 비용재한합성과 성능 제한 하에서 최서 비용의 파이프라인을 합성하는 성능 제한합성의 두 가지 방식을 제공한다.

  • PDF

A genetic-algorithm-based high-level synthesis for partitioned bus architecture (유전자 알고리즘을 이용한 분할 버스 아키텍처의 상위 수준 합성)

  • 김용주;최기영
    • Journal of the Korean Institute of Telematics and Electronics C
    • /
    • v.34C no.3
    • /
    • pp.1-10
    • /
    • 1997
  • We present an approach to high-level synthesis for a specific target architecture-partitioned bus architecture. In this approach, we have specific goals of minimizing data transfer length and number of buses in addition to common synthesis goals such as minimizing number of control steps and satisfying given resource constraint. Minimizing data transfer length and number of buses can be very important design goals in the era of deep submicron technology in which interconnection delay and area dominate total delay and area of the chip to be designed. in partitioned bus architecture, to get optimal solution satisfying all the goals, partitioning of operation nodes among segments and ordering of segments as well as scheduling and allocation/binding must be considered concurrently. Those additional goals may impose much more complexity on the existing high-level synthesis problem. To cope with this increased complexity and get reasonable results, we have employed two ideas in ur synthesis approach-extension of the target architecture to alleviate bus requirement for data transfer and adoption of genetic algorithm as a principal methodology for design space exploration. Experimental results show that our approach is a promising high-level synthesis mehtodology for partitioned bus architecture.

  • PDF

Translating concurrent programs into petri nets for synthesis of asynchronous circuits (비동기회로 합성을 위한 병행 프로그램의 페트리 넷으로의 변환)

  • 유동훈;이동익
    • Proceedings of the IEEK Conference
    • /
    • 1998.06a
    • /
    • pp.883-886
    • /
    • 1998
  • We introduce a high level synthesis methodlogoy for automatic synthesis of asynchronous circuits form a language based on CSP. The input language is a high level concurrent algorithmic specification that can model complex concurrent control flow, logical and arithmetic computation and communications between them. This specification is translated into petri net which has actions. These actions are refined to synthesize the controllers and to allocate the data resources. We use the automatic synthesis through signal transition graphs (STGs) that allows to take advantage of logic synthsis methods to optimize the circuit.

  • PDF

An Analysis of the Partition Algorithm for Digital System Design (디지털 시스템 설계를 위한 분할 알고리즘의 분석)

  • 최정필;한강룡;황인재;송기용
    • Proceedings of the Korea Institute of Convergence Signal Processing
    • /
    • 2001.06a
    • /
    • pp.69-72
    • /
    • 2001
  • High-level synthesis generates a structural design that implements the given behavior and satisfies design constraints for area, performance, power consumption, packaging, testing and other criteria. Thus, high-level synthesis generates that register-transfer(RT) level structure from algorithm level description. High-level syntehsis consist of compiling, partitioning, scheduling This paper we study the partitioning process, and analysis the min-cut algorithm and simulated annealing algorithm.

  • PDF

Data Avaliability Scheduling for Synthesis Beyond Basic Block Scope

  • Kim, Jongsoo
    • Journal of Electrical Engineering and information Science
    • /
    • v.3 no.1
    • /
    • pp.1-7
    • /
    • 1998
  • High-Level synthesis of digital circuits calls for automatic translation of a behavioral description to a structural design entity represented in terms of components and connection. One of the critical steps in high-level synthesis is to determine a particular scheduling algorithm that will assign behavioral operations to control states. A new scheduling algorithm called Data Availability Scheduling (DAS) for high-level synthesis is presented. It can determine an appropriate scheduling algorithm and minimize the number of states required using data availability and dependency conditions extracted from the behavioral code, taking into account of states required using data availability and dependency conditions extracted from the behavioral code, taking into account resource constraint in each control state. The DAS algorithm is efficient because data availability conditions, and conditional and wait statements break the behavioral code into manageable pieces which are analyzed independently. The output is the number of states in a finite state machine and shows better results than those of previous algorithms.

  • PDF

A Circuit Complexity Optimization ILP Algorithm of High-level Synthesis System for New Multiprocessor Design (새로운 멀티프로세서 디자인을 위한 상위수준합성 시스템의 회로 복잡도 최적화 ILP 알고리즘)

  • Chang, Jeong-Uk;Lin, Chi-Ho
    • The Journal of the Institute of Internet, Broadcasting and Communication
    • /
    • v.16 no.3
    • /
    • pp.137-144
    • /
    • 2016
  • In this paper, we have proposed a circuit complexity optimization ILP algorithm of high-level synthesis system for new multiprocessor design. We have analyzed to the operator characteristics and structure of datapath in the most important high-level synthesis. We also introduced the concept of virtual operator for the scheduling of multi-cycle operations. Thus, we demonstrated the complexity to implement a multi-cycle operation of the operator, regardless of the type of operation that can be applied for commonly use in the ILP algorithm. We have achieved is that standard benchmark model for the scheduling of the 5th digital wave filter, it was exactly the same due to the existing datapath scheduling results.

A New Low Power High Level Synthesis for DSP (DSP를 위한 새로운 저전력 상위 레벨 합성)

  • 한태희;김영숙;인치호;김희석
    • Proceedings of the IEEK Conference
    • /
    • 2002.06b
    • /
    • pp.101-104
    • /
    • 2002
  • This paper propose that is algorithm of power dissipation reduction in the high level synthesis design for DSP(Digital Signal Processor), as the portable terminal system recently demand high power dissipation. This paper obtain effect of power dissipation reduction and switching activity that increase correlation of operands as input data of function unit. The algorithm search loop or repeatedly data to the input operands of function unit. That can be reduce the power dissipation using the new low power high level synthesis algorithm. In this Paper, scheduling operation search same nodes from input DFG(Data Flow Graph) with correlation coefficient of first input node and among nodes. Function units consist a multiplier, an adder and a register. The power estimation method is added switching activity for each bits of nodes. The power estimation have good efficient using proposed algorithm. This paper result obtain more Power reduction of fifty percents after using a new low power algorithm in a function unit as multiplier.

  • PDF