• Title/Summary/Keyword: Gate dielectrics

Search Result 166, Processing Time 0.023 seconds

Effect of Gate Dielectrics on Electrical Characteristics of a-ITGZO Thin-Film Transistors (게이트 절연막 조성에 따른 a-ITGZO 박막트랜지스터의 전기적 특성 연구)

  • Kong, Heesung;Cho, Kyoungah;Kim, Sangsig
    • Journal of IKEEE
    • /
    • v.25 no.3
    • /
    • pp.501-505
    • /
    • 2021
  • In this study, we fabricated amorphous indium-tin-gallium-zinc-oxide thin-film transistors (a-ITGZO TFTs) with gate dielectrics of HfO2 and the mixed layers of HfO2 and Al2O3, and investigated the effect of gate dielectric on electrical characteristics of a-ITGZO TFTs. When only HfO2 was used as the gate dielectric, the mobility and subthreshold swing (SS) were 32.3 cm2/Vs and 206 mV/dec. For the a-ITGZO TFTs with gate dielectric made of HfO2 and Al2O (2:1, 1:1), the mobilities and SS were 26.4 cm2/Vs (2:1), 16.8 cm2/Vs(1:1), 160 mV/dec (2:1) and 173 mV/dec (1:1). On the other hand, the hysteresis window shown in transfer curves of the a-ITGZO TFTs was lessened from 0.60 to 0.09 V by the increase of Al2O3 ratio in gate dielectric, indicating that the interface trap density between the gate dielectric and channel layer decreases due to Al2O3.

Effects of Temperature Stress on VFB Shifts of HfO2-SiO2 Double Gate Dielectrics Devices

  • Lee, Kyung-Su;Kim, Sang-Sub;Choi, Byoung-Deog
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.340-341
    • /
    • 2012
  • In this work, we investigated the effects of temperature stress on flatband voltage (VFB) shifts of HfO2-SiO2 double gate dielectrics devices. Fig. 1 shows a high frequency C-V of the device when a positive bias for 10 min and a subsequent negative bias for 10 min were applied at room temperature (300 K). Fig. 2 shows the corresponding plot when the same positive and negative biases were applied at a higher temperature (473.15 K). These measurements are based on the BTS (bias temperature stress) about mobile charge in the gate oxides. These results indicate that the positive bias stress makes no difference, whereas the negative bias stress produces a significant difference; that is, the VFB value increased from ${\Delta}0.51$ V (300 K, Fig. 1) to ${\Delta}14.45$ V (473.15 K, Fig. 2). To explain these differences, we propose a mechanism on the basis of oxygen vacancy in HfO2. It is well-known that the oxygen vacancy in the p-type MOS-Cap is located within 1 eV below the bottom of the HfO2 conduction band (Fig. 3). In addition, this oxygen vacancy can easily trap the electron. When heated at 473.15 K, the electron is excited to a higher energy level from the original level (Fig. 4). As a result, the electron has sufficient energy to readily cross over the oxide barrier. The probability of trap about oxygen vacancy becomes very higher at 473.15 K, and therefore the VFB shift value becomes considerably larger.

  • PDF

Dielectric Surface Treatment Effects on Organic Thin-film Transistors (유기반도체 트랜지스터의 유전체 표면처리 효과)

  • Lim Sang Chul;Kim Seong Hyun;Lee Jung Hun;Ku Chan Hoe;Kim Dojin;Zyung Taehyong
    • Korean Journal of Materials Research
    • /
    • v.15 no.3
    • /
    • pp.202-208
    • /
    • 2005
  • The surface states of gate dielectrics affect device performance severely in Pentacene OTFTs. We have fabricated organic thin-film transistors (OTFTs) using pentacene as an active layer with chemically modified $SiO_2$ gate dielectrics. The effects of the surface treatment of $SiO_2$ on the electric characteristics of OTFTS were investigated. The surface of $SiO_2$ gate dielectric was treated by normal wet cleaning process, $O_2-plasma$ treatment, hexamethyldisilazane (HMDS), and octadecyltrichlorosilane (OTS) treatment. After the surface treatments, the contact angles and surface free energies were measured in order to analyze the surface state changes. In the electrical measurements, typical I-V characteristics of TFTs were observed. The field effect mobility, $\mu$, was calculated to be $0.29\;cm^2V^{-1}s^{-1}$ for OTS treated sample while those for the HMDS, $O_2$ plasma treated, and wet-cleaned samples were 0.16, 0.1, and $0.04\;cm^2V^{-1}s^{-1}$, respectively.

Nano-Floating Gate Memory Devices with Metal-Oxide Nanoparticles in Polyimide Dielectrics

  • Kim, Eun-Kyu;Lee, Dong-Uk;Kim, Seon-Pil;Lee, Tae-Hee;Koo, Hyun-Mo;Shin, Jin-Wook;Cho, Won-Ju;Kim, Young-Ho
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.8 no.1
    • /
    • pp.21-26
    • /
    • 2008
  • We fabricated nano-particles of ZnO, $In_2O_3$ and $SnO_2$ by using the chemical reaction between metal thin films and polyamic acid. The average size and density of these ZnO, $In_2O_3$ and $SnO_2$ nano-particles was approximately 10, 7, and 15 nm, and $2{\times}10^{11},\;6{\times}10^{11},\;2.4{\times}10^{11}cm^{-2}$, respectively. Then, we fabricated nano-floating gate memory (NFGM) devices with ZnO and $In_2O_3$ nano-particles embedded in the devices' polyimide dielectrics and silicon dioxide layers as control and tunnel oxides, respectively. We measured the current-voltage characteristics, endurance properties and retention times of the memory devices using a semiconductor parameter analyzer. In the $In_2O_3$ NFGM, the threshold voltage shift (${\Delta}V_T$) was approximately 5 V at the initial state of programming and erasing operations. However, the memory window rapidly decreased after 1000 s from 5 to 1.5 V. The ${\Delta}V_T$ of the NFGM containing ZnO was approximately 2 V at the initial state, but the memory window decreased after 1000 s from 2 to 0.4 V. These results mean that metal-oxide nano-particles have feasibility to apply NFGM devices.

Progress in Novel Oxides for Gate Dielectrics and Surface Passivation of GaN/AlGaN Heterostructure Field Effect Transistors

  • Abernathy, C.R.;Gila, B.P.;Onstine, A.H.;Pearton, S.J.;Kim, Ji-Hyun;Luo, B.;Mehandru, R.;Ren, F.;Gillespie, J.K.;Fitch, R.C.;Seweel, J.;Dettmer, R.;Via, G.D.;Crespo, A.;Jenkins, T.J.;Irokawa, Y.
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.3 no.1
    • /
    • pp.13-20
    • /
    • 2003
  • Both MgO and $Sc_2O_3$ are shown to provide low interface state densities (in the $10^{11}{\;}eV^{-1}{\;}cm{\;}^{-2}$ range)on n-and p-GaN, making them useful for gate dielectrics for metal-oxide semiconductor(MOS) devices and also as surface passivation layers to mitigate current collapse in GaN/AlGaN high electron mobility transistors(HEMTs).Clear evidence of inversion has been demonstrated in gate-controlled MOS p-GaN diodes using both types of oxide. Charge pumping measurements on diodes undergoing a high temperature implant activation anneal show a total surface state density of $~3{\;}{\times}{\;}10^{12}{\;}cm^{-2}$. On HEMT structures, both oxides provide effective passivation of surface states and these devices show improved output power. The MgO/GaN structures are also found to be quite radiation-resistant, making them attractive for satellite and terrestrial communication systems requiring a high tolerance to high energy(40MeV) protons.

The characteristics of Organic Thin Film Transistors with high-k dielectrics

  • Kim, Chang-Su;Kim, Woo-Jin;Jo, Sung-Jin;Baik, Hong-Koo
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2005.07b
    • /
    • pp.1288-1290
    • /
    • 2005
  • We report on the structural and electrical properties of amorphous Yttria-stabilized zirconia (YSZ) thin films which are the potential high-k gate dielectric material of organic thin film transistor (OTFT). To investigate the influence of the oxygen flow rate on the structural and electrical properties of the YSZ films, XRD, XPS, J-E, I-V were carried out in this work. Oxygen vacancies are expected to be the most predominant type of defect in metal-oxide dielectrics. The leakage current density decreased mainly because of the reduction of oxygen vacancies with increasing oxygen flow rate.

  • PDF

Reliability Characteristics of La-doped High-k/Metal Gate nMOSFETs

  • Kang, C.Y.;Choi, R.;Lee, B.H.;Jammy, R.
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.9 no.3
    • /
    • pp.166-173
    • /
    • 2009
  • The reliability of hafnium oxide gate dielectrics incorporating lanthanum (La) is investigated. nMOSFETs with metal/La-doped high-k dielectric stack show lower $V_{th}$ and $I_{gate}$, which is attributed to the dipole formation at the high-k/$SiO_2$ interface. The reliability results well correlate with the dipole model. Due to lower trapping efficiency, the La-doping of the high-k gate stacks can provide better PBTI immunity, as well as lower charge trapping compared to the control HfSiO stacks. While the devices with La show better immunity to positive bias temperature instability (PBTI) under normal operating conditions, the threshold voltage shift (${\Delta}V_{th}$) at high field PBTI is significant. The results of a transconductance shift (${\Delta}G_m$) that traps are easily generated during high field stress because the La weakens atomic bonding in the interface layer.

Study of the Reliability Characteristics of the ONON(oxide-nitride-oxide-nitride) Inter-Poly Dielectrics in the Flash EEPROM cells (플래시 EEPROM 셀에서 ONON(oxide-nitride-oxide-nitride) Inter-Poly 유전체막의 신뢰성 연구)

  • Shin, Bong-Jo;Park, Keun-Hyung
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.36D no.10
    • /
    • pp.17-22
    • /
    • 1999
  • In this paper, the results of the studies about a new proposal where the ONON(oxide-nitride-oxide-nitride) layer instead of the conventional ONO(oxide-nitride-oxide) layer is used as the IPD(inter-poly-dielectrics) layer to improve the data retention problem in the Flash EEPROM cell, have been discussed. For these studies, the stacked-gate Flash EEPROM cell with an about 10nm thick gate oxide and on ONO or ONON IPD layer have been fabricated. The measurement results have shown that the data retention characteristics of the devices with the ONO IPD layer are significantly degraded with an activation energy of 0.78 eV. which is much lower than the minimum value (1.0 eV) required for the Flash EEPROM cell. This is believed to be due to the partial or whole etching of the top oxide of the IPD layer during the cleaning process performed just prior to the dry oxidation process to grow the gate oxide of the peripheral MOSFET devices. Whereas the data retention characteristics of the devices with the ONON IPD layer have been found to be much (more than 50%) improved with an activation energy of 1.10 eV. This must be because the thin nitride layer on the top oxide layer in the ONON IPD layer protected the top oxide layer from being etched during the cleaning process.

  • PDF

Fabrication of MFISFET Compatible with CMOS Process Using $SrBi_2Ta_2O_9$(SBT) Materials

  • You, In-Kyu;Lee, Won-Jae;Yang, Il-Suk;Yu, Byoung-Gon;Cho, Kyoung-Ik
    • Transactions on Electrical and Electronic Materials
    • /
    • v.1 no.1
    • /
    • pp.40-44
    • /
    • 2000
  • Metal-ferroelectric-insulator-semoiconductor field effect transistor (MFISFETs) were fabricated using CMOS processes. The Pt/SBT/NO combined layers were etched for forming a conformal gate by using Ti/Cr metal masks and a two step etching method, By the method, we were able to fabricate a small-sized gate with the dimension of $16/4{\mu}textrm{m}$ in the width/length of gate. It has been chosen the non-self aligned source and drain implantation process, We have deposited inter-layer dielectrics(ILD) by low pressure chemical vapor deposition(LPCVD) at $380^{circ}C$ after etching the gate structure and the threshold voltage of p-channel MFISFETs were about 1.0 and -2.1V, respectively. It was also observed that the current difference between the $I_{ON}$(on current) and $I_{OFF}$(off current) that is very important in sensing margin, is more that 100 times in $I_{D}-V_{G}$ hysteresis curve.

  • PDF

A STUDY ON THE ELECTRICAL CHARACTERISTICS OF ORGANIC THIN FILM TRANSISTORS WITH SURFACE-TREATED GATE DIELECTRIC LAYER (표면 처리한 $SiO_2$를 게이트 절연막으로 하는 박막 트랜지스터의 특성 연구)

  • Lee, Jae-Hyuk;Lee, Yong-Soo;Park, Jae-Hoon;Choi, Jong-Sun;Kim, Eu-Gene
    • Proceedings of the KIEE Conference
    • /
    • 2000.11c
    • /
    • pp.455-457
    • /
    • 2000
  • In this work the electrical characteristics of organic TFTs with the semiconductor-insulator interfaces, where the gate dielectrics were treated by the two methods which are the deposition of Octadecyltrichlorosilane (OTS) on the insulator and rubbing the insulator surface. Pentacene is used as an active semiconducting layer. The semiconductor layer of pentacene was thermally evaporated in vacuum at a pressure of about $2{\times}10^{-7}$ Torr and at a deposition rate of $0.3{\AA}/sec$. Aluminum and gold were used for the gate and source/drain electrodes. OTS is used as a self-alignment layer between $SiO_2$ and pentacene. The gate dielectric surface was rubbed before pentacene is deposited on the insulator. In order to confirm the changes of the surface morphology the atomic force microscopy (AFM) was utilized. The characteristics of the fabricated TFTs are measured to clarify the effects of the surface treatment.

  • PDF