• Title/Summary/Keyword: Gate Leakage Current

Search Result 332, Processing Time 0.028 seconds

Investigation of $WSi_2$ Gate for the Integration With $HfO_3$gate oxide for MOS Devices (MOS 소자를 위한 $HfO_3$게이트 절연체와 $WSi_2$게이트의 집적화 연구)

  • 노관종;양성우;강혁수;노용한
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.07a
    • /
    • pp.832-835
    • /
    • 2001
  • We report the structural and electrical properties of hafnium oxide (HfO$_2$) films with tungsten silicide (WSi$_2$) metal gate. In this study, HfO$_2$thin films were fabricated by oxidation of sputtered Hf metal films on Si, and WSi$_2$was deposited directly on HfO$_2$by LPCVD. The hysteresis windows in C-V curves of the WSi$_2$HfO$_2$/Si MOS capacitors were negligible (<20 mV), and had no dependence on frequency from 10 kHz to 1 MHz and bias ramp rate from 10 mV to 1 V. In addition, leakage current was very low in the range of 10$^{-9}$ ~10$^{-10}$ A to ~ 1 V, which was due to the formation of interfacial hafnium silicate layer between HfO$_2$and Si. After PMA (post metallization annealing) of the WSi$_2$/HfO$_2$/Si MOS capacitors at 500 $^{\circ}C$ EOT (equivalent oxide thickness) was reduced from 26 to 22 $\AA$ and the leakage current was reduced by approximately one order as compared to that measured before annealing. These results indicate that the effect of fluorine diffusion is negligible and annealing minimizes the etching damage.

  • PDF

Characteristics of Trap in the Thin Silicon Oxides with Nano Structure

  • Kang, C.S.
    • Transactions on Electrical and Electronic Materials
    • /
    • v.4 no.6
    • /
    • pp.32-37
    • /
    • 2003
  • In this paper, the trap characteristics of thin silicon oxides is investigated in the ULSI implementation with nano structure transistors. The stress and transient currents associated with the on and off time of applied voltage were used to measure the distribution of high voltage stress induced traps in thin silicon oxide films. The stress and transient currents were due to the charging and discharging of traps generated by high stress voltage in the silicon oxides. The transient current was caused by the tunnel charging and discharging of the stress generated traps nearby two interfaces. The stress induced leakage current will affect data retention in electrically erasable programmable read only memories. The oxide current for the thickness dependence of stress current, transient current, and stress induced leakage currents has been measured in oxides with thicknesses between 113.4nm and 814nm, which have the gate area 10$\^$-3/ $\textrm{cm}^2$. The stress induced leakage currents will affect data retention, and the stress current and transient current is used to estimate to fundamental limitations on oxide thicknesses.

The Optimal Design of Junctionless Transistors with Double-Gate Structure for reducing the Effect of Band-to-Band Tunneling

  • Wu, Meile;Jin, Xiaoshi;Kwon, Hyuck-In;Chuai, Rongyan;Liu, Xi;Lee, Jong-Ho
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.13 no.3
    • /
    • pp.245-251
    • /
    • 2013
  • The effect of band-to-band tunneling (BTBT) leads to an obvious increase of the leakage current of junctionless (JL) transistors in the OFF state. In this paper, we propose an effective method to decline the influence of BTBT with the example of n-type double gate (DG) JL metal-oxide-semiconductor field-effect transistors (MOSFETs). The leakage current is restrained by changing the geometrical shape and the physical dimension of the gate of the device. The optimal design of the JL MOSFET is indicated for reducing the effect of BTBT through simulation and analysis.

Hydrogen-Related Gate Oxide Degradation Investigated by High-Pressure Deuterium Annealing (고압 중수소 열처리 효과에 의해 조사된 수소 결합 관련 박막 게이트 산화막의 열화)

  • 이재성
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.41 no.11
    • /
    • pp.7-13
    • /
    • 2004
  • Experimental results are presented for the degradation of 3 nm-thick gate oxide under -2.5V $\leq$ V$_{g}$ $\leq$-4.0V stress and 10$0^{\circ}C$ conditions using P and NMOSFETs that are annealed with hydrogen or deuterium gas at high-pressure (5 atm). The degradation mechanisms are highly dependent on stress conditions. For low gate voltage, hole-trapping is found to dominate the reliability of gate oxide both in P and NMOSFETs. With increasing gate voltage to V$_{g}$ =-4.0V, the degradation becomes dominated by electron-trapping in NMOSFETs, however, the generation rate of "hot" hole was very low, because most of tunneling electrons experienced the phonon scattering before impact ionization at the Si interface. Statistical parameter variations as well as the gate leakage current depend on and are improved by high-pressure deuterium annealing, compared to corresponding hydrogen annealing. We therefore suggest that deuterium is effective in suppressing the generation of traps within the gate oxide. Our results therefore prove that hydrogen related processes are at the origin of the investigated oxide degradation.gradation.

Electrical Characteristic Analysis of IGZO TFT with Poly (4-vinylphenol) Gate Insulator according to Annealing Temperature (Poly (4-vinylphenol) 게이트 절연체를 적용한 IGZO TFT의 열처리 온도에 따른 전기적 특성 분석)

  • Park, Jung Hyun;Jeong, Jun Kyo;Kim, Yu Jeong;Jun, Jung Byung;Lee, Ga Won
    • Journal of the Semiconductor & Display Technology
    • /
    • v.16 no.1
    • /
    • pp.97-101
    • /
    • 2017
  • In this paper, IGZO thin film transistor (TFT) was fabricated with cross-linked Poly (4-vinylphenol) (PVP) gate dielectric for flexible, transparent display applications. The PVP is one of the candidates for low-temperature gate insulators. MIM structure was fabricated to measure the leakage current and evaluate the insulator properties according to the annealing temperature. Low leakage current ( <0.1nA/cm2 @ 1MV/cm ) was observed at $200^{\circ}C$ annealing condition and decreases much more as the annealing temperature increases. The electrical characteristics of IGZO TFT such as subthreshold swing, mobility and ON/OFF current ratio were also improved, which shows that the performance of IGZO TFTs with PVP can be enhanced by reducing the amount of incomplete crosslinking in PVP.

  • PDF

A Study on the Silicon Nitride for the poly-Si Thin film Transistor (다결정 박막 트랜지스터 적용을 위한 SiNx 박막 연구)

  • 김도영;김치형;고재경;이준신
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.12S
    • /
    • pp.1175-1180
    • /
    • 2003
  • Transformer Coupled Plasma Chemical Vapor Deposited (TCP-CVD) silicon nitride (SiNx) is widely used as a gate dielectric material for thin film transistors (TFT). This paper reports the SiNx films, grown by TCP-CVD at the low temperature (30$0^{\circ}C$). Experimental investigations were carried out for the optimization o(SiNx film as a function of $N_2$/SiH$_4$ flow ratio varying ,3 to 50 keeping rf power of 200 W, This paper presents the dielectric studies of SiNx gate in terms of deposition rate, hydrogen content, etch rate and leakage current density characteristics lot the thin film transistor applications. And also, this work investigated means to decrease the leakage current of SiNx film by employing $N_2$ plasma treatment. The insulator layers were prepared by two step process; the $N_2$ plasma treatment and then PECVD SiNx deposition with SiH$_4$, $N_2$gases.

Correlation between Physical Defects and Performance in AlGaN/GaN High Electron Mobility Transistor Devices

  • Park, Seong-Yong;Lee, Tae-Hun;Kim, Moon-J.
    • Transactions on Electrical and Electronic Materials
    • /
    • v.11 no.2
    • /
    • pp.49-53
    • /
    • 2010
  • Microstructural origins of leakage current and physical degradation during operation in product-quality AlGaN/GaN high electron mobility transistor (HEMT) devices were investigated using photon emission microscopy (PEM) and transmission electron microscopy (TEM). AlGaN/GaN HEMTs were fabricated with metal organic chemical vapor deposition on semi-insulating SiC substrates. Photon emission irregularity, which is indicative of gate leakage current, was measured by PEM. Site specific TEM analysis assisted by a focused ion beam revealed the presence of threading dislocations in the channel below the gate at the position showing strong photon emissions. Observation of electrically degraded devices after life tests revealed crack/pit shaped defects next to the drain in the top AlGaN layer. The morphology of the defects was three-dimensionally investigated via electron tomography.

Electrical Properties of Organic PVA Gate Insulator Film on ITO/Glass Substrates (ITO/glass 기판위에 제작된 Cross linked PVA 유기 게이트 절연막의 전기적 특성)

  • Choi, Jin-Eun;Gong, Su-Cheol;Jeon, Hyeong-Tag;Park, Hyung-Ho;Chang, Ho-Jung
    • Journal of the Semiconductor & Display Technology
    • /
    • v.9 no.4
    • /
    • pp.1-5
    • /
    • 2010
  • The PVA (poly-vinyl alcohol) insulators were spun coated onto ITO coated glass substrates with the capacitors of Glass/ITO/PVA/Al structure. The effects of PVA concentrations (3.0, 4.0 and 5.0 wt%) on the morphology and electrical properties of the films were investigated. As the concentration of PVA increased from 3.0 to 5.0 wt%, the leakage current of device decreased from 17.1 to 0.23 pA. From the AFM measurement, the RMS value decreased with increasing PVA concentration, showing the improvement of insulator film roughness. The capacitances of the films with PVA concentrations of 4.0 and 5.0 wt% were about 28.1 and 24.2 nF, respectively. The lowest leakage current of 1.77 PA was obtained at the film thickness of 117.5 nm for the device with fixed PVA concentration of 5.0 wt%.

A SOI Lateral Hybrid BMFET with High Current Gain (높은 전류 이득률을 갖는 SOI 수평형 혼성 BMFET)

  • Kim, Du-Yeong;Jeon, Jeong-Hun;Kim, Seong-Dong;Han, Min-Gu;Choe, Yeon-Ik
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.49 no.2
    • /
    • pp.116-119
    • /
    • 2000
  • A hybrid SOI bipolar-mode field effect transistor (BMFET) is proposed to improve the current gain. The device characteristics are analyzed and verified numerically for BMFET mode, DMOS mode, and hybrid mode by MEDICI simulation. The proposed SOI BMFET exhibits 30 times larger current gain in hybrid-mode operation by connecting DMOS gate to the p+ gate of BMFET structure as compared with the conventional structure without sacrifice of breakdown voltage and leakage current characteristics. This is due to the DMOS-gate-induced hybrid effect that lowers the barrier of p-body and reduces the charge in p-body.

  • PDF

28 nm MOSFET Design for Low Standby Power Applications (저전력 응용을 위한 28 nm 금속 게이트/high-k MOSFET 디자인)

  • Lim, To-Woo;Jang, Jun-Yong;Kim, Young-Min
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.57 no.2
    • /
    • pp.235-238
    • /
    • 2008
  • This paper explores 28 nm MOSFET design for LSTP(Low Standby Power) applications using TCAD(Technology Computer Aided Design) simulation. Simulated results show that the leakage current of the MOSFET is increasingly dominated by GIDL(Gate Induced Drain Leakage) instead of a subthreshold leakage as the Source/Drain extension doping increases. The GIDL current can be reduced by grading lateral abruptness of the drain at the expense of a higher Source/Drain series resistance. For 28 nm MOSFET suggested in ITRS, we have shown Source/Drain design becomes even more critical to meet both leakage current and performance requirement.