• Title/Summary/Keyword: Delay Fault Test

Search Result 30, Processing Time 0.022 seconds

A Study on Speed Improvement of Gate Delay Test Generator for Combinational Circuits (조합회로에 대한 게이트 지연 검사 패턴 생성기의 속도 향상에 관한 연구)

  • 박승용;김규철
    • Proceedings of the IEEK Conference
    • /
    • 1998.10a
    • /
    • pp.723-726
    • /
    • 1998
  • Fault dropping is a very important part of test generation process. It is used to reduce test generation time. Test generation systems use fault simulation for the purpose of fault dropping by identifying detectable faults with generated test patterns. Two kinds of delay fault model is used in practice, path delay fault model and gate delay fault model. In this paper we propose an efficient method for gate delay test generation which shares second test vector.

  • PDF

Efficient robust path delay fault test generation for combinational circuits using the testability measure (테스트 용이도를 이용한 조합회로의 효율적인 로보스트 경로 지연 고장 테스트 생성)

  • 허용민;임인칠
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.33A no.2
    • /
    • pp.205-216
    • /
    • 1996
  • In this paper we propose an efficient robust path delay fault test genration algorithm for detection of path delay faluts in combinational ligic circuits. In the proposed robust test genration approach, the testability measure is computed for all gates in the circuit under test and these computed values are used to genrate weighted random delay test vetors for detection of path delay faults. For genrated robust test vectors, we perform fault simulation on ISCAS '85 benchmark circuits using parallel pattern technqieus. The results indicate that the proposed test genration method not only increases the number of detected robust path delay faults but also reduces the time taen to genrate robust tests.

  • PDF

A Minimized Test Pattern Generation Method for Ground Bounce Effect and Delay Fault Detection (그라운드 바운스 영향과 지연고장을 위한 최소화된 테스트 패턴 생성 기법)

  • 김문준;이정민;장훈
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.41 no.11
    • /
    • pp.69-77
    • /
    • 2004
  • An efficient board-level interconnect test algorithm is proposed considering both the ground bounce effect and the delay fault detection. The proposed algorithm is capable of IEEE 1149.1 interconnect test, negative ground bounce effect prevention, and also detects delay faults as well. The number of final test pattern set is not much different with the previous method, even our method enables to detect the delay faults in addition to the abilities the previous method guarantees.

Delay Test for Boundary-Scan based Architectures (경계면 스캔 기저 구조를 위한 지연시험)

  • 강병욱;안광선
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.31A no.6
    • /
    • pp.199-208
    • /
    • 1994
  • This paper proposes a delay fault test technique for ICs and PCBs with the boundary-scan architectures supporting ANSI/IEEE Std 1149.1-1990. The hybrid delay fault model, which comprises both of gate delay faults and path delay faults, is selected. We developed a procedure for testing delay faults in the circuits with typical boundary scan cells supporting the standard. Analyzing it,we concluded that it is impractical because the test clock must be 2.5 times faster than the system clock with the cell architect-ures following up the state transition of the TAP controller and test instruction set. We modified the boundary-scan cell and developed test instructions and the test procedure. The modified cell and the procedure need test clock two times slower than the system clock and support the ANSI/IEEE standard perfectly. A 4-bit ALU is selected for the circuits under test. and delay tests are simulated by the SILOS simulator. The simulation results ascertain the accurate operation and effectiveeness of the modified mechanism.

  • PDF

TSV Defect Detection Method Using On-Chip Testing Logics (온칩 테스트 로직을 이용한 TSV 결함 검출 방법)

  • Ahn, Jin-Ho
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.63 no.12
    • /
    • pp.1710-1715
    • /
    • 2014
  • In this paper, we propose a novel on-chip test logic for TSV fault detection in 3-dimensional integrated circuits. The proposed logic called OTT realizes the input signal delay-based TSV test method introduced earlier. OTT only includes one F/F, two MUXs, and some additional logic for signal delay. Thus, it requires small silicon area suitable for TSV testing. Both pre-bond and post-bond TSV tests are able to use OTT for short or open fault as well as small delay fault detection.

Design of Enhanced IEEE 1500 Wrapper Cell and Interface Logic For Transition Delay Fault Test (천이 지연 고장 테스트를 위한 개선된 IEEE 1500 래퍼 셀 및 인터페이스 회로 설계)

  • Kim, Ki-Tae;Yi, Hyun-Bean;Kim, Jin-Kyu;Park, Sung-Ju
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.44 no.11
    • /
    • pp.109-118
    • /
    • 2007
  • As the integration density and the operating speed of System on Chips (SoCs) become increasingly high, it is crucial to test delay defects on the SoCs. This paper introduces an enhanced IEEE 1500 wrapper cell architecture and IEEE 1149.1 TAP controller for the wrapper interface logic, and proposes a transition delay fault test method. The method proposed can detect slow-to-rise and slow-to-fall faults sequentially with low area overhead and short test time. and simultaneously test IEEE 1500 wrapped cores operating at different core clocks.

New Scan Design for Delay Fault Testing of Sequential Circuits (순차 회로의 지연 고장 검출을 위한 새로운 스캔 설계)

  • 허경회;강용석;강성호
    • The Transactions of the Korean Institute of Electrical Engineers A
    • /
    • v.48 no.9
    • /
    • pp.1161-1166
    • /
    • 1999
  • Delay testing has become highlighted in the field of digital circuits as the speed and the density of the circuits improve greatly. However, delay faults in sequential circuits cannot be detected easily due to the existence of state registers. To overcome this difficulty a new scan filp-flop is devised which can be used for both stuck-at testing and delay testing. In addition, the new scan flip-flop can be applied to both the existing functional justification method and the newly-developed reverse functional justification method which uses scan flip-flops as storing the second test patterns rather than the first test patterns. Experimental results on ISCAS 89 benchmark circuits show that the number of testable paths can be increased by about 10% on the average.

  • PDF

Delay Fault Test for Interconnection on Boards and SoCs (칩 및 코아간 연결선의 지연 고장 테스트)

  • Yi, Hyun-Bean;Kim, Doo-Young;Han, Ju-Hee;Park, Sung-Ju
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.34 no.2
    • /
    • pp.84-92
    • /
    • 2007
  • This paper proposes an interconnect delay fault test (IDFT) solution on boards and SoCs based on IEEE 1149.1 and IEEE P1500. A new IDFT system clock rising edge generator which forces output boundary scan cells to update test data at the rising edge of system clock and input boundary scan cells to capture the test data at the next rising edge of the system clock is introduced. Using this proposed circuit, IDFT for interconnects synchronized to different system clocks in frequency can be achieved efficiently. Moreover, the proposed IDFT technique does not require any modification of the boundary scan cells or the standard TAP controller and simplifies the test procedure and reduces the area overhead.

Interconnect Delay Fault Test on Boards and SoCs with Multiple Clock Domains

  • Yi, Hyun-Bean;Song, Jae-Hoon;Park, Sung-Ju
    • ETRI Journal
    • /
    • v.30 no.3
    • /
    • pp.403-411
    • /
    • 2008
  • This paper introduces an interconnect delay fault test (IDFT) controller on boards and system-on-chips (SoCs) with IEEE 1149.1 and IEEE 1500 wrappers. By capturing the transition signals launched during one system clock, interconnect delay faults operated by different system clocks can be simultaneously tested with our technique. The proposed IDFT technique does not require any modification on boundary scan cells. Instead, a small number of logic gates needs to be plugged around the test access port controller. The IDFT controller is compatible with the IEEE 1149.1 and IEEE 1500 standards. The superiority of our approach is verified by implementation of the controller with benchmark SoCs with IEEE 1500 wrapped cores.

  • PDF

New Weight Generation Algorithm for Path Delay Fault Test Using BIST (내장된 자체 테스트에서 경로 지연 고장 테스트를 위한 새로운 가중치 계산 알고리듬)

  • Hur, Yun;Kang, Sung-Ho
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.37 no.6
    • /
    • pp.72-84
    • /
    • 2000
  • The test patterns for path delay faults consist of two patterns. So in order to test the delay faults, a new weight generation algorithm that is different from the weight generation algorithm for stuck-at faults must be applied. When deterministic test patterns for weight calculation are used, the deterministic test patterns must be divided into several subsets, so that Hamming distances between patterns are not too long. But this method makes the number of weight sets too large in delay testing, and may generate inaccurate weights. In this pater, we perform fault simulation without pattern partition. Experimental results for ISCAS 89 benchmark circuits prove the effectiveness of the new weight generation algorithm proposed in this paper.

  • PDF