• 제목/요약/키워드: Chemical mechanical polishing/planarization

검색결과 168건 처리시간 0.022초

BLT박막의 화학적기계적연마 공정시 패턴 크기에 따른 공정 특성 (Process Characteristics by Pattern Size in CMP Process of BLT Films)

  • 신상헌;이우선
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2006년도 추계학술대회 논문집 전기물성,응용부문
    • /
    • pp.107-108
    • /
    • 2006
  • In this work, we first applied the chemical mechanical polishing (CMP) process to the planarization of ferroelectric film in order to obtain a good planarity of electrode/ferroelectric film interface. $Bi_{3.25}La_{0.75}Ti_{3}O_{12}$ (BLT) ferroelectric film was fabricated by the sol-gel method. However, there have been serious problems in CMP in terms of repeatability and defects in patterned wafer. Especially, dishing & erosion defects increase the resistance because they decrease the interconnect section area, and ultimately reduce the lifetime of the semiconductor. Cross-sections of the wafer before and after CMP were examined by Scanning electron microscope(SEM). Process characteristics of non-dishing and erosion were investigated.

  • PDF

Cu 배선의 평탄화를 위한 ECMD에 관한 연구 (Electro-chemical Mechanical deposition for the planarization of Cu film)

  • 정석훈;서헌덕;박범영;이현섭;정재우;박재홍;정해도
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2005년도 하계학술대회 논문집 Vol.6
    • /
    • pp.649-650
    • /
    • 2005
  • 반도체는 고집적화, 고속도화, 저전력화를 목적으로 발전하고 있다. 이를 위하여 design rule의 감소, 새로운 물질과 프로세스의 적용 등 많은 연구가 이루어지고 있으며, RC delay time을 줄이기 위한 Cu 와 저유전율 재료의 적용이 그 대표적인 예라 할 수 있다. Cu 배선은 기존의 Al 배선에 비하여 높은 전자이동 (electro-migration)과 응력 이동 (stress-migration) 저항을 가짐으로써 전기적인 성능 (electrical performance) 에서 이점을 가지고 있다. 반도체에서의 Cu 배선 구조는 평탄화된 표면 및 배선들 사이에서의 좋은 전기적인 절연성을 가져야 하며, 이는 디싱(dishing)과 에로젼(erosion)의 중요한 인자가 된다. 기존의 평탄화 공정인 Cu CMP(Chemical Mechanical Polishing)에 있어서 이러한 디싱, 에로전과 같은 결함은 선결되어져야 할 문제로 인식되고 있다. 따라서 본 연구에서는 이러한 결합들을 감소시키기 위한 새로운 평탄화 방법으로 Cu gap-filling 을 하는 동시에 평탄화된 표면을 이루는 ECMD(Electro-Chemical Mechanical Deposition) 공정의 전기적 기계적 특성을 파악하였다.

  • PDF

Cu/TaN CMP시 $H_2O_2$ 적정방법 (Titration methods of $H_2O_2$ in Cu/TaN CMP)

  • 유해영;김남훈;김상용;김태형;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 춘계학술대회 논문집 반도체 재료 센서 박막재료 전자세라믹스
    • /
    • pp.38-41
    • /
    • 2004
  • The oxidizer plays an important role in the metal chemical mechanical polishing(CMP) slurry. Currently, the oxidizer used in CMP slurry is nearly divided into several kinds such as $Fe(NO_3)_3$, $H_2O_2$, $KIO_3$, and $H_5IO_6$. It is generally known that oxidizer character of $H_2O_2$ is more effective than other oxidizers. In this work, we have been studied the characteristics for the $H_2O_2$ concentration of copper slurry, which can applicable in the recent semiconductor manufacturing process. Also, it plays an important role in the planarization of copper films using copper slurries during micro-electronic device fabrication. In this work, we confirmed that removal rate of Cu/TaN changed by $H_2O_2$ concentration on copper slurry. And we used $KMnO_4$ in the measurement method of $H_2O_2$. In analysis results, we confirmed that the difference of results is large. We thought that the difference was due to organic component existence. So in titration method of $H_2O_2$ concentration, we used $Na_2S_2O_3$ instead of $KMnO_4$ as solution. Consequently, using the titration method, we could calculate correct data reduced error. And $H_2O_2$ concentration has been adjusted to the target concentration of 0.1 wt%.

  • PDF

STI-CMP 공정에서 Consumable의 영향 (Effects of Consumable on STI-CMP Process)

  • 김상용;박성우;정소영;이우선;김창일;장의구;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집 Vol.14 No.1
    • /
    • pp.185-188
    • /
    • 2001
  • Chemical mechanical polishing(CMP) process is widely used for global planarization of inter-metal dielectric (IMD) layer and inter-layer dielectric (ILD) for deep sub-micron technology. However, as the IMD and ILD layer gets thinner, defects such as micro-scratch lead to severe circuit failure, which affect yield. In this paper, for the improvement of CMP process, deionized water (DIW) pressure, purified $N_2 \; (PN_2)$ gas, slurry filter and high spray bar were installed. Our experimental results show that DIW pressure and $PN_2$ gas factors were not related with removal rate, but edge hot-spot of patterned wafer had a serious relation. Also, the filter installation in CMP polisher could reduce defects after CMP process, it is shown that slurry filter plays an important role in determining consumable pad lifetime. The filter lifetime is dominated by the defects. However, the slurry filter is impossible to prevent defect-causing particles perfectly. Thus, we suggest that it is necessary to install the high spray bar of de-ionized water (DIW) with high pressure, to overcome the weak-point of slurry filter. Finally, we could expect the improvements of throughput, yield and stability in the ULSI fabrication process.

  • PDF

CMP 공정의 설비요소가 공정 결함에 미치는 영향 (Effects of Various Facility Factors on CMP Process Defects)

  • 박성우;정소영;박창준;이경진;김기욱;서용진
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제51권5호
    • /
    • pp.191-195
    • /
    • 2002
  • Chemical mechanical Polishing (CMP) process is widely used for the global planarization of inter-metal dielectric (IMD) layer and inter-layer dielectric (ILD) for deep sub-micron technology. However, as the IMD and ILD layer gets thinner, defects such as micro-scratch lead to severe circuit failure, which affect yield. In this paper, for the improvement of CMP process, deionized water (DIW) pressure, purified $N_2$ ($PN_2$) gas, point of use (POU) slurry filler and high spray bar (HSB) were installed. Our experimental results show that DW pressure and P$N_2$ gas factors were not related with removal rate, but edge hot-spot of patterned wafer had a serious relation. Also, the filter installation in CMP polisher could reduce defects after CMP process, it is shown that slurry filter plays an important role in determining consumable pad lifetime. The filter lifetime is dominated by the defects. However, the slurry filter is impossible to prevent defect-causing particles perfectly. Thus, we suggest that it is necessary to install the high spray bar of de-ionized water (DIW) with high pressure, to overcome the weak-point of slurry filter Finally, we could expect the improvements of throughput, yield and stability in the ULSI fabrication process.

선형 Roll-CMP에서 공정변수에 관한 통계적 분석 (Statistical Analysis on Process Variables in Linear Roll-CMP)

  • 왕함;이현섭;정해도
    • Tribology and Lubricants
    • /
    • 제30권3호
    • /
    • pp.139-145
    • /
    • 2014
  • Nowadays, most micro-patterns are manufactured during flow line production. However, a conventional rotary chemical mechanical polishing (CMP) system has a limited throughput for the fabrication of large and flexible electronics. To overcome this problem, we propose a novel linear roll-CMP system for the planarization of large-area electronics. In this paper, we present a statistical analysis on the linear roll-CMP process of copper-clad laminate (CCL) to determine the impacts of process parameters on the material removal rate (MRR) and its non-uniformity (NU). In the linear roll-CMP process, process parameters such as the slurry flow rate, roll speed, table feed rate, and down force affect the MRR and NU. To determine the polishing characteristics of roll-CMP, we use Taguchi's orthogonal array L16 (44) for the experimental design and F-values obtained by the analysis of variance (ANOVA). We investigate the signal-to-noise (S/N) ratio to identify the prominent control parameters. The "higher is better" for the MRR and "lower is better" for the NU were selected for obtaining optimum CMP performance characteristics. The experimental and statistical results indicate that the down force and roll speed mainly affect the MRR and the down force and table feed rate determine the NU in the linear roll-CMP process. However, over 186.3 N of down force deteriorates the NU because of the bending of substrate. Roll speed has little relationship to the NU and the table feed rate does not impact on the MRR. This study provides information on the design parameter of roll-CMP machine and process optimization.

SiC 표면 거칠기에 미치는 습식식각의 영향 (The Effect of Surface Roughness on SiC by Wet Chemical Etching)

  • 김재관;조영제;한승철;이혜용;이지면
    • 대한금속재료학회지
    • /
    • 제47권11호
    • /
    • pp.748-753
    • /
    • 2009
  • The surface morphology and the surface roughness of n-type SiC induced by wet-treatment using 45% KOH and buffered oxide etchant (BOE-1HF : $6H_2O$) were investigated by atomic force microscopy (AFM). While Si-face of SiC could be etched by alkali solutions such as KOH, acidic solutions such as BOE were hardly able to etch SiC. When the rough SiC samples were used, the surface roughness of etched sample was decreased after wet-treatment regardless of etchant, due to the planarization the of surface by widening of scratches formed by mechanical polishing. It was observed that the initial etching was affected by the energetically unstable sites, such as dangling bond and steps. However, when a relatively smooth sample was used, the surface roughness was rapidly increased after treatment at $180^{\circ}C$ for 1 hr and at room temperature for 4 hr by using KOH solution, resulting from the nano-sized structures such as pores and bumps. This indicates that porous SiC surface can be achieved by using purely chemical treatment.

연마제 첨가량에 따른 Mixed Abrasive Slurry (MAS)의 CMP 특성 고찰 (Improvement of Mixed Abrasive Slurry (MAS) Characteristics According to the Abrasive Adding)

  • 이성일;이영균;박성우;이우선;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2006년도 추계학술대회 논문집 Vol.19
    • /
    • pp.380-381
    • /
    • 2006
  • Chemical mechanical polishing (CMP) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, the cost of ownership and cost of consumables are relatively high because of expensive slurry. In this paper, we studied the mixed abrasive slurry (MAS). In order to save the costs of slurry, the original silica slurry was diluted by de-ionized water (DIW). And then, $ZrO_2$, $CeO_2$, and $MnO_2$ abrasives were added in the diluted slurry in order to promote the mechanical force of diluted slurry. We have also investigate the possibility of mixed abrasive slurry for the oxide CMP application.

  • PDF

CMP 패드 두께 프로파일 측정 장치 및 방법에 관한 연구 (A Study on CMP Pad Thickness Profile Measuring Device and Method)

  • 이태경;김도연;강필식
    • 한국산업융합학회 논문집
    • /
    • 제23권6_2호
    • /
    • pp.1051-1058
    • /
    • 2020
  • The chemical mechanical planarization (CMP) is a process of physically and chemically polishing the semiconductor substrate. The planarization quality of a substrate can be evaluated by the within wafer non-uniformity (WIWNU). In order to improve WIWNU, it is important to manage the pad profile. In this study, a device capable of non-contact measurement of the pad thickness profile was developed. From the measured pad profile, the profile of the pad surface and the groove was extracted using the envelope function, and the pad thickness profile was derived using the difference between each profile. Thickness profiles of various CMP pads were measured using the developed PMS and envelope function. In the case of IC series pads, regardless of the pad wear amount, the envelopes closely follow the pad surface and grooves, making it easy to calculate the pad thickness profile. In the case of the H80 series pad, the pad thickness profile was easy to derive because the pad with a small wear amount did not reveal deep pores on the pad surface. However, the pad with a large wear amount make errors in the lower envelope profile, because there are pores deeper than the grooves. By removing these deep pores through filtering, the pad flatness could be clearly confirmed. Through the developed PMS and the pad thickness profile calculation method using the envelope function, the pad life, the amount of wear and the pad flatness can be easily derived and used for various pad analysis.

Utilizing Advanced Pad Conditioning and Pad Motion in WCMP

  • Kim, Sang-Yong;Chung, Hun-Sang;Park, Min-Woo;Kim, Chang-Il;Chang, Eui-Goo
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집 Vol.14 No.1
    • /
    • pp.171-175
    • /
    • 2001
  • Chemical mechanical polishing(CMP) process has been widely used to planarize dielectrics and metal, which can apply to employed in integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of free-defects in inter level dielectrics and metal. Especially, defects like (micro-scratch) lead to severe circuit failure, and affects yield. Current conditioning method - bladder type, orbital pad motion- usually provides unsuitable pad profile during ex-situ conditioning near the end of pad life. Since much of the pad wear occurs by the mechanism of bladder type conditioning and its orbital motion without rotation, we need to implement new ex-situ conditioner which can prevent abnormal regional force on pad caused by bladder-type and also need to rotate the pad during conditioning. Another important study of ADPC is related to the orbital scratch of which source is assumed as diamond grit dropped from the strip during ex-situ conditioning. Scratch from diamond grit damaged wafer severely so usually scraped. Figure 1 shows the typical shape of scratch damaged from diamond. e suspected that intensive forces to the edge area of bladder type stripper accelerated the drop of Diamond grit during conditioning. so new designed Flat stripper was introduced.

  • PDF