• Title/Summary/Keyword: Chemical mechanical planarization

Search Result 231, Processing Time 0.027 seconds

Effect of pH level and slurry particle size on the chemical mechanical planarization of langasite crystal wafer (pH level 및 slurry 입도가 langasite wafer의 chemical mechanical planarization에 미치는 영향)

  • Cho Hyun
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.15 no.1
    • /
    • pp.34-38
    • /
    • 2005
  • Effects of pH level and slurry particle size on material removal rate and planarization of langasite single crystal wafer have been examined. Higher material removal rate was obtained with lower pH level slurries while the planarization was found to be determined by average particle size of colloidal silica slurries. Slurries containing 0.045 ㎛ amorphous silica particles showed the best polishing effect without any scratches on the surface. Effective particle number has a strong effect on the surface planarization and the removal rate, so that the lower effective particle numbers produced low removal rate but the better planarization results.

Hydrodynamic Lubrication Model for Chemical Mechanical Planarization (유체윤활을 고려한 화학기계적 연마 공정에서의 연마대상과 패드 사이의 유동장 해석)

  • 김기현;오수익;전병희
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2003.06a
    • /
    • pp.207-210
    • /
    • 2003
  • The chemical mechanical planarization (CMP) process is a method of planarizing semiconductor wafers with a high degree of success. However, fundamental mechanisms of the process are not fully understood. Several theoretical analyses have been introduced, which are focused on kinematics, von Mises stress distributions and hydrodynamic lubrication aspects. This paper is concerned with hydrodynamic lubrication theory as the chemical mechanical planarization model; the three-dimensional Reynolds equation is applied to predict slurry film thickness and pressure distributions between the pad and the wafer. This paper classifies geometry of wafer into 3 types and focuses on the differences between them.

  • PDF

Analysis of Research Trends on Electrochemical-Mechanical Planarization (전기화학-기계적 평탄화에 관한 연구 동향 분석)

  • Lee, Hyunseop;Kim, Jihun;Park, Seongmin;Chu, Dongyeop
    • Tribology and Lubricants
    • /
    • v.37 no.6
    • /
    • pp.213-223
    • /
    • 2021
  • Electrochemical mechanical planarization (ECMP) was developed to overcome the shortcomings of conventional chemical mechanical planarization (CMP). Because ECMP technology utilizes electrochemical reactions, it can have a higher efficiency than CMP even under low pressure conditions. Therefore, there is an advantage in that it is possible to reduce dicing and erosions, which are physical defects in semiconductor CMP. This paper summarizes the papers on ECMP published from 2003 to 2021 and analyzes research trends in ECMP technology. First, the material removal mechanisms and the configuration of the ECMP machine are dealt with, and then ECMP research trends are reviewed. For ECMP research trends, electrolyte, processing variables and pads, tribology, modeling, and application studies are investigated. In the past, research on ECMP was focused on basic research for the development of electrolytes, but it has recently developed into research on tribology and process variables and on new processing systems and applications. However, there is still a need to increase the processing efficiency, and to this end, the development of a hybrid ECMP processing method using another energy source is required. In addition, ECMP systems that can respond to the developing metal 3D printing technology must be researched, and ECMP equipment technology using CNC and robot technology must be developed.

A Study for Global Planarization of Mutilevel Metal by CMP (Chemical Mechanical Polishing (CMP) 공정을 이용한 Mutilevel Metal 구조의 광역 평탄화에 관한 연구)

  • 김상용;서용진;김태형;이우선;김창일;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.11 no.12
    • /
    • pp.1084-1090
    • /
    • 1998
  • As device sizes are scaled down to submicron dimensions, planarization technology becomes increasingly important for both device fabrication and formation of multilevel interconnects. Chemical mechanical polishing (CMP) has emerged recently as a new processing technique for achieving a high degree of planarization for submicron VLSI applications. The polishing process has many variables, and most of which are not well understood. The factors determine the planarization performance are slurry and pad type, insert material, conditioning technique, and choice of polishing tool. Circuit density, pattern size, and wiring layout also affect the performance of a CMP planarization process. This paper presents the results of studies on CMP process window characterization for 0.35 micron process with 5 metal layers.

  • PDF

Planarization of Cu intereonnect using ECMP process (전기화학 기계적 연마를 이용한 Cu 배선의 평탄화)

  • Jeong, Suk-Hoon;Seo, Heon-Deok;Park, Boum-Young;Park, Jae-Hong;Lee, Ho-Jun;Oh, Ji-Heon;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.79-80
    • /
    • 2007
  • Copper has been used as an interconnect material in the fabrication of semiconductor devices, because of its higher electrical conductivity and superior electro-migration resistance. Chemical mechanical polishing (CMP) technique is required to planarize the overburden Cu film in an interconnect process. Various problems such as dishing, erosion, and delamination are caused by the high pressure and chemical effects in the Cu CMP process. But these problems have to be solved for the fabrication of the next generation semiconductor devices. Therefore, new process which is electro-chemical mechanical planarization/polishing (ECMP) or electro-chemical mechanical planarization was introduced to solve the. technical difficulties and problems in CMP process. In the ECMP process, Cu ions are dissolved electrochemically by the applying an anodic potential energy on the Cu surface in an electrolyte. And then, Cu complex layer are mechanically removed by the mechanical effects between pad and abrasive. This paper focuses on the manufacturing of ECMP system and its process. ECMP equipment which has better performance and stability was manufactured for the planarization process.

  • PDF

Planarization of Multi-level metal Structure by Chemical Mechanical Polishing (CMP 공정을 이용한 Multilevel Metal 구조의 평탄화 연구)

  • 김상용;서용진;김태형;이우선;김창일
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1997.11a
    • /
    • pp.456-460
    • /
    • 1997
  • As device sizes are scaled to submicron dimensions, planarization technology becomes increasing1y important, both during device fabrication and during formation of multilevel interconnects and wiring. Chemical Mechanical Polishing (CMP) has emerged recently as a new processing technique for achieving a high degree of planarization for submicron VLSI applications. This paper is presented the results of CMP process window characterization studies for 0.35 micron process with 6 metal layers.

  • PDF

The Effect of Mechanical Properties of Polishing Pads on Oxide CMP(Chemical Mechanical Planarization)

  • Hong, Yi-Koan;Eom, Dae-Hong;Kang, Young-Jae;Park, Jin-Goo;Kim, Jae-Seok;Kim, Geon;Lee, Ju-Yeol;Park, In-Ha
    • KSTLE International Journal
    • /
    • v.5 no.1
    • /
    • pp.32-35
    • /
    • 2004
  • The purpose of this study is to investigate the effects of the structure and mechanical properties of laser-processed pads on their polishing behavior such as their removal rate and WIWNU (within wafer non-uniformity) during the chemical mechanical planarization (CMP) process. The holes on the pad acted as the reservoir of slurry particles and enhanced the removal rate. Without grooves, no effective removal of wafers was possible. When the length of the circular-type grooves was increased, higher removal rates and lower wafer non-uniformity were measured. The removal rate and non-uniformity linearly increased as the elastic modulus of the top pad increased. Higher removal rates and lower non-uniformity were measured as the hardness of the pad increased.

A Study on Semi Abrasive Free Slurry including Acid Colloidal Silica for Copper Chemical Mechanical Planarization (구리 CMP 적용을 위한 산성 콜로이드 실리카를 포함한 준무연마제 슬러리 연구)

  • 김남훈;김상용;서용진;김태형;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.3
    • /
    • pp.272-277
    • /
    • 2004
  • The primary aim of this study is to investigate new semi-abrasive free slurry including acid colloidal silica and hydrogen peroxide for copper chemical-mechanical planarization (CMP). In general, slurry for copper CMP consists of colloidal silica as an abrasive, organic acid as a complex-forming agent, hydrogen peroxide as an oxidizing agent, a film forming agent, a pH control agent and several additives. We developed new semi-abrasive free slurry (SAFS) including below 0.5% acid colloidal silica. We evaluated additives as stabilizers for hydrogen peroxide as well as accelerators in tantalum nitride CMP process. We also estimated dispersion stability and Zeta potential of the acid colloidal silica with additives. The extent of enhancement in tantalum nitride CMP was verified through anelectrochemical test. This approach may be useful for the application of single and first step copper CMP slurry with one package system.

Effect of slurry on CMP characteristics of Blanket Wafer (Blanket Wafer의 CMP특성에 Slurry가 미치는 영향)

  • 김경준;정해도
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 1996.11a
    • /
    • pp.172-176
    • /
    • 1996
  • The rapid structural change of ULSI chip includes minimum features, multilevel interconnection and large diameter wafers. Demands for the advanced chip structure necessitates the development of enhanced deposition, etching and planarization techniques. Planarization refers to a process that make rugged surfaces flat and uniform. One of the emerging technologies for planarization is chemical mechanical polishing(CMP). Chemical and mechanical removal actions occur during CMP, and both appear to be closely interrelated. The purpose of this study is the optimal application of the slurry to the various types of device materials during CMP. We investigates the effect of slurry on CMP characteristics for thermal oxide and sputtered Al blanket wafers. Results from the polishing rate and the uniformity of residual film include mechanical and chemical reactions between several set of slurry and work material.

  • PDF