• Title/Summary/Keyword: Chemical etching

Search Result 932, Processing Time 0.028 seconds

Study on Fabrication of Highly Ordered Nano Master by Using Anodic Aluminum Oxidation (AAO를 이용한 나노 마스터 제작에 관한 연구)

  • Kwon, J.T.;Shin, H.G.;Seo, Y.H.;Kim, B.H.
    • Proceedings of the Korean Society for Technology of Plasticity Conference
    • /
    • 2007.10a
    • /
    • pp.162-165
    • /
    • 2007
  • AAO(Anodic Aluminum Oxidation) method has been known that it is practically useful for the fabrication of nano-structures and makes it possible to fabricate the highly ordered nano masters on large surface and even on the 2.5 or 3D surface at low cost comparing to the expensive e-beam lithography or the conventional silicon processing. In this study, by using the multi-step anodizing and etching processes, highly ordered nano patterned master with concave shapes was fabricated. By varying the processing parameters, such as initial matter and chemical conditions; electrical and thermal conditions; time scheduling; and so on, the size and the pitch of the nano pattern can be controlled. Consequently, various alumina/aluminum nano structures can be easily available in any size and shape by optimized anodic oxidation in various aqueous acids. In order to replicate nano patterned master, the resulting good filled uniform nano molded structure through electro-forming process shows the validity of the fabricated nano pattern masters.

  • PDF

A Study on Surface and Cross-section Properties Depending on the Process Parameters of Laser Depositions with Metal Powders (SUS316L and IN718) (공정 파라미터에 따른 금속분말(SUS316L, IN718) 레이저 적층 표면 및 단면 특성 분석)

  • Hwang, JunHo;Shin, SeongSeon;Lee, JongHoon;Kim, SungWook;Kim, HyunDeok
    • Journal of Welding and Joining
    • /
    • v.35 no.3
    • /
    • pp.28-34
    • /
    • 2017
  • The authors derived the criteria on the process parameters of laser depositions with metal powers(SUS316L & IN718) by evaluating the surface and cross-section properties of the deposition layers. The surface characteristics of the deposition layer are investigated through optical microscopy by controlling the process parameters of laser output, powder feeding rate and gas feeding rate. The cross-section characteristics were also analyzed after polishing and chemical etching process. As the gas feeding rate increased, the amount of powder loss increased and the difference in the dilution ratio and heat affected zone depending on laser outputs was observed. In addition, the powder feeding rate used in the experiment did not interfere with the energy absorption of the base material.

Selectivity and Characteristics of $\beta$-SiC Thin Film Deposited on the Masked Substrate (기판-Mask 재료에 따른 $\beta$-SiC 박막 증착의 선택성과 특성 평가)

  • 양원재;김성진;정용선;최덕균;전형탁;오근호
    • Journal of the Korean Ceramic Society
    • /
    • v.36 no.1
    • /
    • pp.55-60
    • /
    • 1999
  • ${\beta}$-SiC thin film was deposited on a Si substrate without buffer layer using a single precursor of Hexamethyldisilane (Si2(CH3)6) by chemical vapor deposition method. HCI gas was introduced into hexamethyldisilane /H2 gas mixture, and the feeding schedule of HCI and precursor gases was modified in order to enhance the selectivity of SiC deposition between a Si substrate and a SiO2 mask. The effect of HCI gas on the surface roughness of the SiC film was investigated and typical electrical properties of the SiC film were also investigated by Hall measurement.

  • PDF

Role of Charge Produced by the Gas Activation in the CVD Diamond Process

  • Hwang, Nong-Moon;Park, Hwang-Kyoon;Suk Joong L. Kang
    • The Korean Journal of Ceramics
    • /
    • v.3 no.1
    • /
    • pp.5-12
    • /
    • 1997
  • Charged carbon clusters which are formed by the gas activation are suggested to be responsible for the formation of the metastable diamond film. The number of carbon atoms in the cluster that can reverse the stability between diamond and graphite by the capillary effect increases sensitively with increasing the surface energy ratio of graphite to diamond. The gas activation process produces charges such as electrons and ions, which are energetically the strong heterogeneous nucleation sites for the supersaturated carbon vapor, leading to the formation of the charged clusters. Once the carbon clusters are charged, the surface energy of diamond can be reduced by the electrical double layer while that of graphite cannot because diamond is dielectric and graphite is conducting. The unusual phenomena observed in the chemical vapor deposition diamond process can be successfully approached by the charged cluster model. These phenomena include the diamond deposition with the simultaneous graphite etching, which is known as the thermodynamic paradox and the preferential formation of diamond on the convex edge, which is against the well-established concept of the heterogeneous nucleation.

  • PDF

Application of CR-39 Microfilm for Rapid Discrimination Between Alpha-Particle Sources

  • Dwaikat, Nidal;Al-Karmi, Anan M.
    • Nuclear Engineering and Technology
    • /
    • v.49 no.4
    • /
    • pp.881-885
    • /
    • 2017
  • This work presents a new technique for discriminating between alpha particles of different energy levels. In a first study, two groups of alpha particles emitted from radium-226 and americium-241 sources were successfully separated using a CR-39 microfilm of appropriate thickness. This thickness was adjusted by chemical etching before and after irradiation so that lower-energy particles were stopped within the detector, while higher-energy particles were revealed on the back side of the detector. The number of tracks on the front side of the microfilm represented all alpha particles incident on that side from the two sources. However, the number of tracks on the back side of the microfilm represented only the long-range alpha particles of higher energy that arrived at that side. Therefore, by subtracting the number of tracks on the back side from the number of tracks on the front side, one could easily determine the number of tracks for the short-range alpha particles of lower energy that remained embedded in the microfilm. Discrimination of the two energy levels is thus achieved in a simple, fast, and reliable process.

The Characteristics of Thermal Hydraulic Performance for Micro Plate Heat Exchanger with Straight channel (직관채널의 마이크로 판형열교환기 열적 성능 특성)

  • Kim, Yoon-Ho;Lee, Kyu-Jung;Seo, Jang-Won;Jeon, Seung-Won
    • Korean Journal of Air-Conditioning and Refrigeration Engineering
    • /
    • v.20 no.11
    • /
    • pp.767-774
    • /
    • 2008
  • This paper presented the heat transfer and pressure drop characteristics for micro plate heat exchanger with straight channel. The metal sheets for straight channel are manufactured by chemical etching and fabricated micro plate heat exchangers by using the vacuum brazing of bonding technology. The performance experiments are performed within the Reynolds numbers range of 15$\sim$250 under the same flow rate conditions for hot and cold sides. The inlet temperature of hot and cold water are conducted in the range of $30^{\circ}C{\sim}50^{\circ}C$ and $15^{\circ}C{\sim}25^{\circ}C$, respectively. Heat transfer rate and pressure drop are evaluated by the Reynolds numbers and mass flow rates as the inlet temperature variations of the hot and cold sides. Correlations of Nusselt number and friction factor are suggested for micro plate heat exchanger with straight channel using the results of performance experiment.

Fabrication of Field-Emitter Arrays using the Mold Method for FED Applications

  • Cho, Kyung-Jea;Ryu, Jeong-Tak;Kim, Yeon-Bo;Lee, Sang-Yun
    • Transactions on Electrical and Electronic Materials
    • /
    • v.3 no.1
    • /
    • pp.4-8
    • /
    • 2002
  • The typical mold method for FED (field emission display) fabrication is used to form a gate electrode, a gate oxide layer, and emitter tip after fabrication of a mold shape using wet-etching of Si substrate. However, in this study, new mold method using a side wall space structure was developed to make sharp emitter tips with the gate electrode. In new method, gate oxide layer and gate electrode layer were deposited on a Si wafer by LPCVD (low pressure chemical vapor deposition), and then BPSG (Boro phosphor silicate glass) thin film was deposited. After then, the BPSG thin film was flowed into the mold at high temperature in order to form a sharp mold structure. TiN was deposited as an emitter tip on it. The unfinished device was bonded to a glass substrate by anodic bonding techniques. The Si wafer was etched from backside by KOH-deionized water solution. Finally, the sharp field emitter array with gate electrode on the glass substrate was formed.

Improvement of Electrochemical Characteristics and Study of Deterioration of Aluminum Foil in Organic Electrolytes for EDLC

  • Lee, Mun-Soo;Kim, Donna H.;Kim, Seung-Cheon
    • Journal of Electrochemical Science and Technology
    • /
    • v.9 no.1
    • /
    • pp.9-19
    • /
    • 2018
  • The anodic behavior of aluminum (Al) foils with varying purity, capacitance, and withstand voltage in organic electrolytes was examined for EDLC. The results of cyclic voltammetry (CV) and chronoamperometry (CA) experiments showed that the electrochemical stability improves when Al foil has higher purity, lower capacitance, and higher withstand voltage. To improve the electrochemical stability of EDLC current collectors made of low-purity foil (99.4% Al foil), the foil was modified by chemical etching to reduce its capacitance to $60{\mu}F/cm^2$ and forming to have withstand a voltage of 3 Vf. EDLC cells using the modified Al foil as a current collector were made to 2.7 V with 360 F, and a constant voltage load test was subsequently performed for 2500 hours at high temperature under a rated voltage of 2.7 V. The reliability and stability of the EDLC cell improved when the modified Al foil was used as a current collector. To understand the deterioration process of the Al current collector, standard cells made of conventional Al foil under a constant voltage load test were disassembled, and the surface changes of the foil were measured every 500 hours. The Al foil became increasingly corroded, causing the adhesion between the AC coating layer and the Al foil to weaken, and it was confirmed that partial AC coating layer peeling occurred.

Adhesion of Cu on Polycarbonate with the Condition of Surface Modification and DC-Bias Sputtering Deposition (폴리카보네이트에서의 표면개질 조건과 DC-Bias Sputtering 증착에 따른 Cu 밀착성)

  • 배길상;엄준선;이인선;김상호;고영배;김동원
    • Journal of the Korean institute of surface engineering
    • /
    • v.37 no.1
    • /
    • pp.5-12
    • /
    • 2004
  • The enhancement of adhesion for Cu film on polycarbonate (PC) surface with the $Ar/O_2$ gas plasma treatment and dc-bias sputtering was studied. The plasma treatment with this reactive mixture changes the chemical property of PC surface into hydrophllic one, which is shown by the variation of contact angle with surface modification. The micro surface roughness that also gives the high adhesive environment is increased by the $Ar/O_2$ gas plasma treatment. These results were observed distinctly from the atomic force microscopy (AFM). The negative substrate dc-bias effect for the Cu adhesion on PC was also investifated. Accelerated $Ar^{+}$ lons in sheath area of anode bombard the bare surface of PC during initial stage of dc bias sputtering. PC substrate. therefore, has severe roughen and hydrophilic surface due to the physical etching process with more activated functional group. As dc-bias sputtering process proceeds, morphology of Cu film shows better step coverage and dense layer. The results of peel test show the evidence of superiority of bias sputtering for the adhesion between metal Cu and PC.C.

A Study on Characteristics of Microcrystalline-silicon Films Fabricated by PECVD Method (플라즈마 화학증착법으로 제작한 미세결정질 실리콘 박막 특성에 관한 연구)

  • Lee, Ho-Nyeon;Lee, Jong-Ha;Lee, Byoung-Wook;Kim, Chang-Kyo
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.21 no.9
    • /
    • pp.848-852
    • /
    • 2008
  • Characteristics of microcrystalline-silicon thin-films deposited by plasma-enhanced chemical-vapor deposition (PECVD) method were studied. There were optimum values of RF power density and $H_2$ dilution ratio $(H_2/(SiH_4+H_2))$; maximum grain size of about 35 nm was obtained at substrate temperature of 250 $^{\circ}C$ with RF power density of 1.1 W/$cm^2$ and $H_2$ dilution ratio of 0.91. Larger grain was obtained with higher substrate temperature up to 350 $^{\circ}C$. Grain size dependence on RF power density and $H_2$ dilution ratio could be explained by etching effects of hydrogen ions and changes of species of reactive precursors on growing surface. Surface-mobility activation of reactive precursors by temperature could be a reason of grain-size dependence on the substrate temperature. Microcrystalline-silicon thin-films that could be used for flat-panel electronics such as active-matrix organic-light-emitting-diodes are expected to be fabricated successfully using these results.