• 제목/요약/키워드: CMP polishing pad

검색결과 154건 처리시간 0.025초

실리카졸의 이온전도도 변화에 따른 사파이어 웨이퍼의 연마 특성 (Characteristics of Sapphire Wafers Polishing Depending on Ion Conductivity of Silica Sol)

  • 나호성;조경숙;이동현;박민경;김대성;이승호
    • 한국재료학회지
    • /
    • 제25권1호
    • /
    • pp.21-26
    • /
    • 2015
  • CMP(Chemical Mechanical Polishing) Processes have been used to improve the planarization of the wafers in the semiconductor manufacturing industry. Polishing performance of CMP Process is determined by the chemical reaction of the liquid sol containing abrasive, pressure of the head portion and rotational speed of the polishing pad. However, frictional heat generated during the CMP process causes agglomeration of the particles and the liquidity degradation, resulting in a non-uniform of surface roughness and surface scratch. To overcome this chronic problem, herein, we introduced NaCl salt as an additive into silica sol for elimination the generation of frictional heat. The added NaCl reduced the zata potential of silica sol and increased the contact surface of silica particles onto the sapphire wafer, resulting in increase of the removal rate up to 17 %. Additionally, it seems that the silica particles adsorbed on the polishing pad decreased the contact area between the sapphire water and polishing pad, which suppressed the generation of frictional heat.

상대속도를 고려한 CMP 공정에서의 연마제거율 모델 (MRR model for the CMP Process Considering Relative Velocity)

  • 김기현;오수익;전병희
    • 소성∙가공
    • /
    • 제13권3호
    • /
    • pp.225-229
    • /
    • 2004
  • Chemical Mechanical Polishing(CMP) process becomes one of the most important semiconductor processes. But the basic mechanism of CMP still does not established. Slurry fluid dynamics that there is a slurry film between a wafer and a pad and contact mechanics that a wafer and a pad contact directly are the two main studies for CMP. This paper based on the latter one, especially on the abrasion wear model. Material Removal Rate(MRR) is calculated using the trajectory length of every point on a wafer during the process time. Both the rotational velocity of a wafer and a pad and the wafer oscillation velocity which has omitted in other studies are considered. For the purpose of the verification of our simulation, we used the experimental results of S.H.Li et al. The simulation results show that the tendency of the calculated MRR using the relative velocity is very similar to the experimental results and that the oscillation effect on MRR at a real CMP condition is lower than 1.5%, which is higher than the relative velocity effect of wafer, and that the velocity factor. not the velocity itself, should be taken into consideration in the CMP wear model.

패드 그루브의 치수가 CMP 연마특성에 미치는 영향 (The Effects of Groove Dimensions of Pad on CMP Characteristics)

  • 박기현;김형재;최재영;서헌덕;정해도
    • 대한기계학회논문집A
    • /
    • 제29권3호
    • /
    • pp.432-438
    • /
    • 2005
  • CMP characteristics such as material removal rate and edge effect were measured and investigated in accordance with pad grooving effect, groove width, depth and pitch. GSQ (Groove Stiffness Quotient) and GFQ (Groove Flow Quotient) were proposed to estimate pad grooving characteristics. GSQ is defined as groove depth(D) divided by pad thickness(T) and GFQ is defined as groove width(W) divided by groove pitch(P). As GFQ value increased, material removal rate increased some point but gradually saturated. It seems that material removal rate is not affected by each parameter respectively but by interaction of these parameters such as groove dimensions. In addition, an increase in GFQ and GSQ causes edge effect to be improved. Because, pad stiffness decreases as GSQ and GFQ increase. In conclusion, groove influences relative pad stiffness although original mechanical properties of pad are unchanged by grooving. Also, it affects the flow of slurry that has an effect on the lubrication regime and polishing results. The change of groove dimensions has influence on pad stiffness and slurry flow, so that polishing results such as removal rate and edge effect become changed.

CMP 공정에서 연마패드 경도에 따른 연마 특성 변화 분자동력학 연구 (Molecular Dynamics Study on Property Change of CMP Process by Pad Hardness)

  • 권오근;최태호;이준하
    • 반도체디스플레이기술학회지
    • /
    • 제12권1호
    • /
    • pp.61-65
    • /
    • 2013
  • We investigated the wearable dynamics of diamond spherical abrasive during the substrate surface polishing under the pad compression via classical molecular dynamics modeling. We performed three-dimensional molecular dynamics simulations using the Morse potential functions for the copper substrate and the Tersoff potential function for the diamond abrasive. The pad hardness had a big impact on the wearable dynamics of the abrasive. The moving speed of the abrasive decreased with increasing hardness of the pad. As the hardness decreased, the abrasive was indented into the pad and then the sliding motion of the abrasive was increased. So the pad hardness was greatly influenced on the slide-to-roll ratio as well as the wearable rate.

CMP에서의 스틱-슬립 마찰특성에 관한 연구 (A Study on the Characteristics of Stick-slip Friction in CMP)

  • 이현섭;박범영;서헌덕;박기현;정해도
    • 한국전기전자재료학회논문지
    • /
    • 제18권4호
    • /
    • pp.313-320
    • /
    • 2005
  • Stick-slip friction is one of the material removal mechanisms in tribology. It occurs when the static friction force is larger than the dynamic friction force, and make the friction curve fluctuated. In the friction monitoring of chemical mechanical polishing(CMP), the friction force also vibrates just as stick-slip friction. In this paper, an attempt to show the similarity between stick-slip friction and the friction of CMP was conducted. The prepared hard pa(IC1000/Suba400 stacked/sup TM/) and soft pad(Suba400/sup TM/) were tested with SiO₂ slurry. The friction force was measured by piezoelectric sensor. According to this experiment, it was shown that as the head and table velocity became faster, the stick-slip time shortened because of the change of real contact area. And, the gradient of stick-slip period as a function of head and table speed in soft pad was more precipitous than that of hard one. From these results, it seems that the fluctuating friction force in CMP is stick-slip friction caused by viscoelastic behavior of the pad and the change of real contact area.

텅스텐 CMP에서 산화제 영향에 관한 연구 (A Study on Oxidizer Effects in Tungsten CMP)

  • 박범영;이현섭;박기현;정석훈;서헌덕;정해도;김호윤;김형재
    • 한국전기전자재료학회논문지
    • /
    • 제18권9호
    • /
    • pp.787-792
    • /
    • 2005
  • Chemical mechanical polishing(CMP) has become the process of choice for modem semiconductor devices to achieve both local and global planarization. CMP is a complex process which depends on numerous variables such as macro, micro and nano-geometry of pad, relative velocity between pad and wafer stiffness and dampening characteristics of pad, slurry, pH, chemical components of slurry, abrasive concentration, abrasive size, abrasive shape, etc. Especially, an oxidizer of chemical components is very important remove a target material in metal CMP process. This paper introduces the effect of oxidizer such as $H_2O_2,\;Fe(NO_3)_3\;and\;KIO_3$ in slurry for tungsten which is used in via or/and plug. Finally the duplex reacting mechanism of $oxidizer(H_2O_2)$ through adding the $catalyst(Fe(NO_3)_3)$ could acquire the sufficient removal rate in tungsten CMP.

화학기계적연마(CMP) 컨디셔닝에 관한 연구 (A Study on Novel Conditioning for CMP)

  • 이성훈;김형재;안대균;정해도
    • 한국정밀공학회지
    • /
    • 제16권5호통권98호
    • /
    • pp.40-47
    • /
    • 1999
  • In CMP for semiconductor wafer films, the acceptable within-chip planarity, within-wafer and wafer-to-wafer nonuniformity could be achieved by conditioning. The role of conditioning is to remove continuously polishing residues from pad and to maintain the initial pad surface pores. To reach these requirements, the diamond grits disk has been considered as a conventional conditioner. However, we have investigated many defects as scratch on wafers out of diamond grits shedding, contaminations from bonding materials, and pad pore subsidences by over-conditioning. So, this paper studies the effect of ultrasonic vibration in CMP conditioning as a representative. The effect of ultrasonic vibration was certified through ILD, Metal CMP.

  • PDF

화학기계적폴리싱(CMP)에 의한 층간절연막의 광역평탄화에 관한 연구 (A Global Planarization of Interlayer Dielectric Using Chemical Mechanical Polishing for ULSI Chip Fabrication)

  • 정해도
    • 한국정밀공학회지
    • /
    • 제13권11호
    • /
    • pp.46-56
    • /
    • 1996
  • Planarization technique is rapidly recognized as a critical step in chip fabrication due to the increase in wiring density and the trend towards a three dimensional structure. Global planarity requires the preferential removal of the projecting features. Also, the several materials i.e. Si semiconductor, oxide dielectric and sluminum interconnect on the chip, should be removed simultaneously in order to produce a planar surface. This research has investihgated the development of the chemical mechanical polishing(CMP) machine with uniform pressure and velocity mechanism, and the pad insensitive to pattern topography named hard grooved(HG) pad for global planarization. Finally, a successful result of uniformity less than 5% standard deviation in residual oxide film and planarity less than 15nm in residual step height of 4 inch device wafer, is achieved.

  • PDF

CMP 연마입자의 마찰력과 연마율에 관한 영향 (Effect of Abrasive Particles on Frictional Force and Abrasion in Chemical Mechanical Polishing(CMP))

  • 김구연;김형재;박범영;이현섭;박기현;정해도
    • 한국전기전자재료학회논문지
    • /
    • 제17권10호
    • /
    • pp.1049-1055
    • /
    • 2004
  • Chemical Mechanical Polishing (CMP) is referred to as a three body tribological system, because it includes two solids in relative motion and the CMP slurry. On the assumption that the abrasives between the pad and the wafer could be a major reason not only for the friction force but also for material removal during polishing, the friction force generated during CMP process was investigated with the change of abrasive size and concentration of CMP slurry. The threshold point of average coefficient of friction (COF) with increase in abrasives concentration during interlayer dielectric (ILD) CMP was found experimentally and verified mathematically based on contact mechanics. The predictable models, Mode I (wafer is in contact with abrasives and pad) and Mode II (wafer is in contact with abrasives only), were proposed and used to explain the threshold point. The average COF value increased in the low abrasives concentration region which might be explained by Mode I. In contrast the average COF value decreased at high abrasives concentration which might be regarded to as Mode II. The threshold point observed seemed to be due to the transition from Mode I to Mode II. The tendency of threshold point with the variation of abrasive size was studied. The increase of particle radius could cause contact status to reach transition area faster. The correlation between COF and material removal rate was also investigated from the tribological and energetic point of view. Due to the energy loss by vibration of polishing equipment, COF value is not proportional to the material removal rate in this experiment.

탈이온수로 희석된 실리카 슬러리에 알루미나 연마제가 첨가된 혼합 연마제 슬러리의 CMP 특성 (Chemical Mechanical Polishing Characteristics of Mixed Abrasive Slurry by Adding of Alumina Abrasive in Diluted Silica Slurry)

  • 서용진;박창준;최운식;김상용;박진성;이우선
    • 한국전기전자재료학회논문지
    • /
    • 제16권6호
    • /
    • pp.465-470
    • /
    • 2003
  • The chemical mechanical polishing (CMP) process has been widely used for the global planarization of multi-layer structures in semiconductor manufacturing. The CMP process can be optimized by several parameters such as equipment, consumables (pad, backing film and slurry), process variables and post-CMP cleaning. However, the COO(cost of ownership) is very high, because of high consumable cost. Especially, among the consumables, the slurry dominates more than 40 %. In this paper, we have studied the CMP characteristics of diluted silica slurry by adding of raw alumina abrasives and annealed alumina abrasives. As an experimental result, we obtained the comparable slurry characteristics compared with original silica slurry in the view-point of high removal rate and low non-uniformity. Therefore, we can reduce the cost of consumables(COC) of CMP process for ULSI applications.