• Title/Summary/Keyword: power-gating circuit

Search Result 24, Processing Time 0.027 seconds

A Low Power UART Design by Using Clock-gating (클록 게이팅을 이용한 저전력 UART 설계)

  • Oh, Tae-Young;Song, Sung-Wan;Kim, Hi-Seok
    • Proceedings of the IEEK Conference
    • /
    • 2005.11a
    • /
    • pp.865-868
    • /
    • 2005
  • This paper presents a Clock-gating technique that reduces power dissipation of the sequential circuits in the system. The Master Clock of a Clock-gating technique is formed by a quaternary variable. It uses the covering relationship between the triggering transition of the clock and the active cycles of various flip-flops to generate a slave clock for each flip-flop in the circuit. At current RTL designs flip-flop is acted by Master clock's triggering but the Slave Clock of Clock-gating technique doesn't occur trigger when external input conditions have not matched with a condition of logic table. We have applied our clocking technique to UART controller of 8bit microprocess

  • PDF

Design of a new adaptive circuit to compensate for aging effects of nanometer digital circuits (나노미터 디지털회로의 노화효과를 보상하기위한 새로운 적응형 회로 설계)

  • Kim, Kyung Ki
    • Journal of Korea Society of Industrial Information Systems
    • /
    • v.18 no.6
    • /
    • pp.25-30
    • /
    • 2013
  • In nanoscale MOSFET technology, aging effects such as Negative Bias Temperature Instability(NBTI), Hot carrier Injection(HCI), Time Dependent Dielectric Breakdown (TDDB) and so on which affect circuit reliability can lead to severe degradation of digital circuit performance. Therefore, this paper has proposed the adaptive compensation circuit to overcome the aging effects of digital circuits. The proposed circuit deploys a power gating structure with variable power switch width and variable forward body-biasing voltage in order to adaptively compensate for aging induced performance degradation, and has been designed in 45nm technology.

Power-Gating Structure with Virtual Power-Rail Monitoring Mechanism

  • Lee, Hyoung-Wook;Lee, Hyun-Joong;Woo, Jong-Kwan;Shin, Woo-Yeol;Kim, Su-Hwan
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.8 no.2
    • /
    • pp.134-138
    • /
    • 2008
  • We present a power gating turn-on mechanism that digitally suppresses ground-bounce noise in ultra-deep submicron technology. Initially, a portion of the sleep transistors are switched on in a pseudo-random manner and then they are all turned on fully when VVDD is above a certain reference voltage. Experimental results from a realistic test circuit designed in 65nm bulk CMOS technology show the potential of our approach.

Analysis of Aging Phenomena in Nanomneter MOSFET Power Gating Structure (나노미터 MOSFET 파워 게이팅 구조의 노화 현상 분석)

  • Lee, Jinkyung;Kim, Kyung Ki
    • Journal of Sensor Science and Technology
    • /
    • v.26 no.4
    • /
    • pp.292-296
    • /
    • 2017
  • It has become ever harder to design reliable circuits with each nanometer technology node under normal operation conditions, a transistor device can be affected by various aging effects resulting in performance degradation and eventually design failure. The reliability (aging) effect has traditionally been the area of process engineers. However, in the future, even the smallest of variations can slow down a transistor's switching speed, and an aging device may not perform adequately at a very low voltage. Because of such dilemmas, the transistor aging is emerging as a circuit designer's problem. Therefore, in this paper, the impact of aging effects on the delay and power dissipation of digital circuits by using nanomneter MOSFET power gating structure has been analyzed.. Based on this analyzed aging models, a reliable digital circuits can be designed.

High Repetitive Pulsed Power Supply Based on Semi-Conductor Switches (반도체 스위치 기반 고반복 펄스전원)

  • Jang, S.R.;Ahn, S.H.;Ryoo, H.J.;Kim, J.S.;Rim, G.H.
    • Proceedings of the KIEE Conference
    • /
    • 2009.07a
    • /
    • pp.1023_1024
    • /
    • 2009
  • In this paper, a novel 10kV, 50A, 50kHz pulsed power supply based on IGBT stacks is proposed. Proposed scheme consists of series connected 12 IGBT to generate maximum 10kV output pulse and 10kW full bridge phase-shifted zero voltage switching converter to charge DC capacitor voltage. Each IGBTs are sustain the 830V of capacitor voltage at turn off interval. By turn on the each IGBT for the same time it gives the path for the series connection of charged capacitor. From above turn on and off procedure, high voltage repetitive pulse is applied to the load. The synchronization of gating signal is important of series operation of IGBTs. For gating signal synchronization, specially designed gate power circuit using full bridge inverter and pulse transformer is developed to generate IGBT gating signal.

  • PDF

Low Power Test for SoC(System-On-Chip)

  • Jung, Jun-Mo
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2011.10a
    • /
    • pp.892-895
    • /
    • 2011
  • Power consumption during testing System-On-Chip (SOC) are becoming increasingly important as the IP core increases in SOC. We present a new algorithm to reduce the scan-in power using the modified scan latch reordering and clock gating. We apply scan latch reordering technique for minimizing the hamming distance in scan vectors. Also, during scan latch reordering, the don't care inputs in scan vectors are assigned for low power. Also, we apply the clock gated scan cells. Experimental results for ISCAS 89 benchmark circuits show that reduced low power scan testing can be achieved in all cases.

  • PDF

Design of 32 bit Parallel Processor Core for High Energy Efficiency using Instruction-Levels Dynamic Voltage Scaling Technique

  • Yang, Yil-Suk;Roh, Tae-Moon;Yeo, Soon-Il;Kwon, Woo-H.;Kim, Jong-Dae
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.9 no.1
    • /
    • pp.1-7
    • /
    • 2009
  • This paper describes design of high energy efficiency 32 bit parallel processor core using instruction-levels data gating and dynamic voltage scaling (DVS) techniques. We present instruction-levels data gating technique. We can control activation and switching activity of the function units in the proposed data technique. We present instruction-levels DVS technique without using DC-DC converter and voltage scheduler controlled by the operation system. We can control powers of the function units in the proposed DVS technique. The proposed instruction-levels DVS technique has the simple architecture than complicated DVS which is DC-DC converter and voltage scheduler controlled by the operation system and a hardware implementation is very easy. But, the energy efficiency of the proposed instruction-levels DVS technique having dual-power supply is similar to the complicated DVS which is DC-DC converter and voltage scheduler controlled by the operation system. We simulate the circuit simulation for running test program using Spectra. We selected reduced power supply to 0.667 times of the supplied power supply. The energy efficiency of the proposed 32 bit parallel processor core using instruction-levels data gating and DVS techniques can improve about 88.4% than that of the 32 bit parallel processor core without using those. The designed high energy efficiency 32 bit parallel processor core can utilize as the coprocessor processing massive data at high speed.

A Clock and Data Recovery Circuit with Adaptive Loop Bandwidth Calibration and Idle Power Saved Frequency Acquisition

  • Lee, Won-Young;Jung, Chae Young;Cho, Ara
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.17 no.4
    • /
    • pp.568-576
    • /
    • 2017
  • This paper presents a clock and data recovery circuit with an adaptive loop bandwidth calibration scheme and the idle power saved frequency acquisition. The loop bandwidth calibration adaptively controls injection currents of the main loop with a trimmable bandgap reference circuit and trains the VCO to operate in the linear frequency control range. For stand-by power reduction of the phase detector, a clock gating circuit blocks 8-phase clock signals from the VCO and cuts off the current paths of current mode D-flip flops and latches during the frequency acquisition. 77.96% reduction has been accomplished in idle power consumption of the phase detector. In the jitter experiment, the proposed scheme reduces the jitter tolerance variation from 0.45-UI to 0.2-UI at 1-MHz as compared with the conventional circuit.

Implementation of Inverter Systems for DC Power Regeneration

  • Kim Kyung-Won;Yoon In-Sic;Seo Young-Min;Hong Soon-Chan;Yoon Duck-Yong
    • Proceedings of the KIPE Conference
    • /
    • 2001.10a
    • /
    • pp.126-131
    • /
    • 2001
  • This paper deals with implementation of inverter systems for DC power regeneration, which can regenerate the excessive DC power from DC bus line to AC supply in substations for traction systems. From the viewpoint of both power capacity and switching losses, a three-phase square-wave inverter system is adopted. To control the regenerated power, the magnitude and phase of fundamental output voltages should be appropriately controlled in spite of the variation of input DC voltage. Inverters are operated with modified a-conduction mode to fix the potential of each arm. The overall system consists of the line-to-line voltage and line current sensors, an actual power calculator using d-q transformation method, a complex power controller with PI control scheme, a gating signal generator for modified $\alpha-conduction\;mode\;with\;\delta\;and\;\alpha$, a DPLL for frequency followup, and power circuit.

  • PDF

Low Power Neuromorphic Hardware Design and Implementation Based on Asynchronous Design Methodology (비동기 설계 방식기반의 저전력 뉴로모픽 하드웨어의 설계 및 구현)

  • Lee, Jin Kyung;Kim, Kyung Ki
    • Journal of Sensor Science and Technology
    • /
    • v.29 no.1
    • /
    • pp.68-73
    • /
    • 2020
  • This paper proposes an asynchronous circuit design methodology using a new Single Gate Sleep Convention Logic (SG-SCL) with advantages such as low area overhead, low power consumption compared with the conventional null convention logic (NCL) methodologies. The delay-insensitive NCL asynchronous circuits consist of dual-rail structures using {DATA0, DATA1, NULL} encoding which carry a significant area overhead by comparison with single-rail structures. The area overhead can lead to high power consumption. In this paper, the proposed single gate SCL deploys a power gating structure for a new {DATA, SLEEP} encoding to achieve low area overhead and low power consumption maintaining high performance during DATA cycle. In this paper, the proposed methodology has been evaluated by a liquid state machine (LSM) for pattern and digit recognition using FPGA and a 0.18 ㎛ CMOS technology with a supply voltage of 1.8 V. the LSM is a neural network (NN) algorithm similar to a spiking neural network (SNN). The experimental results show that the proposed SG-SCL LSM reduced power consumption by 10% compared to the conventional LSM.