• Title/Summary/Keyword: high etch rate

Search Result 229, Processing Time 0.027 seconds

Effect of chemical in post Ru CMP Cleaning solutions on abrasive particle adhesion and removal (Post Ru CMP Cleaning에서 연마입자의 흡착과 제거에 대한 chemical의 첨가제에 따른 영향)

  • Kim, In-Kwon;Kim, Tae-Gon;Cho, Byung-Gwun;Son, Il-Ryong;Park, Jin-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.529-529
    • /
    • 2007
  • Ruthenium (Ru) is a white metal and belongs to platinum group which is very stable chemically and has a high work function. It has been widely studied to apply Ru as an electrode material in memory devices and a Cu diffusion barrier metal for Cu interconnection due to good electrical conductivity and adhesion property to Cu layer. To planarize deposited Ru layer, chemical mechanical planarization(CMP) was suggested. However, abrasive particle can induce particle contamination on the Ru layer surface during CMP process. In this study, zeta potentials of Ru and interaction force of alumina particles with Ru substrate were measured as a function of pH. The etch rate and oxidation behavior were measured as a function of chemical concentration of several organic acids and other acidic and alkaline chemicals. PRE (particle removal efficiency) was also evaluated in cleaning chemical.

  • PDF

Effect of Process Parameters on TSV Formation Using Deep Reactive Ion Etching (DRIE 공정 변수에 따른 TSV 형성에 미치는 영향)

  • Kim, Kwang-Seok;Lee, Young-Chul;Ahn, Jee-Hyuk;Song, Jun Yeob;Yoo, Choong D.;Jung, Seung-Boo
    • Korean Journal of Metals and Materials
    • /
    • v.48 no.11
    • /
    • pp.1028-1034
    • /
    • 2010
  • In the development of 3D package, through silicon via (TSV) formation technology by using deep reactive ion etching (DRIE) is one of the key processes. We performed the Bosch process, which consists of sequentially alternating the etch and passivation steps using $SF_6$ with $O_2$ and $C_4F_8$ plasma, respectively. We investigated the effect of changing variables on vias: the gas flow time, the ratio of $O_2$ gas, source and bias power, and process time. Each parameter plays a critical role in obtaining a specified via profile. Analysis of via profiles shows that the gas flow time is the most critical process parameter. A high source power accelerated more etchant species fluorine ions toward the silicon wafer and improved their directionality. With $O_2$ gas addition, there is an optimized condition to form the desired vertical interconnection. Overall, the etching rate decreased when the process time was longer.

Improvement of Electrical Properties by Controlling Nickel Plating Temperatures for All Solid Alumina Capacitors

  • Jeong, Myung-Sun;Ju, Byeong-Kwon;Oh, Young-Jei;Lee, Jeon-Kook
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2011.10a
    • /
    • pp.25.2-25.2
    • /
    • 2011
  • Recently, thin film capacitors used for vehicle inverters are small size, high capacitance, fast response, and large capacitance. But its applications were made up of liquid as electrolyte, so its capacitors are limited to low operating temperature range and the polarity. This research proposes using Ni-P alloys by electroless plating as the electrode instead of liquid electrode. Our substrate has a high aspect ratio and complicated shape because of anodic aluminum oxide (AAO). We used AAO because film thickness and effective surface area are depended on for high capacitance. As the metal electrode instead of electrolyte is injected into AAO, the film capacitor has advantages high voltage, wide operating temperature, and excellent frequency property. However, thin film capacitor made by electroless-plated Ni on AAO for full-filling into etched tunnel was limited from optimizing the deposition process so as to prevent open-through pore structures at the electroless plating owing to complicated morphological structure. In this paper, the electroless plating parameters are controlled by temperature in electroless Ni plating for reducing reaction rate. The Electrical properties with I-V and capacitance density were measured. By using nickel electrode, the capacitance density for the etched and Ni electroless plated films was 100 nFcm-2 while that for a film without any etch tunnel was 12.5 nFcm-2. Breakdown voltage and leakage current are improved, as the properties of metal deposition by electroless plating. The synthesized final nanostructures were characterized by scanning electron microscopy (SEM).

  • PDF

Comparison of characteristics of silver-grid transparent conductive electrodes for display devices according to fabrication method (제조공법에 따른 디스플레이 소자용 silver-grid 투명전극층의 특성 비교)

  • Choi, Byoung Su;Choi, Seok Hwan;Ryu, Jeong Ho;Cho, Hyun
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.27 no.2
    • /
    • pp.75-79
    • /
    • 2017
  • Honeycomb-shaped Ag-grid transparent conductive electrodes (TCEs) were fabricated using two different processes, high density plasma etching and lift-off, and the optical and electrical properties were compared according to the fabrication method. For the fabrication of the Ag-grid TCEs by plasma etching, etch characteristics of the Ag thin film in $10CF_4/5Ar$ inductively coupled plasma (ICP) discharges were studied. The Ag etch rate increased as the power increased at relatively low ICP source power or rf chuck power conditions, and then decreased at higher powers due to either decrease in $Ar^+$ ion energy or $Ar^+$ ion-assisted removal of the reactive F radicals. The Ag-grid TCEs fabricated by the $10CF_4/5Ar$ ICP etching process showed better grid pattern transfer efficiency without any distortion or breakage in the grid pattern and higher optical transmittance values of average 83.3 % (pixel size $30{\mu}m/line$ width $5{\mu}m$) and 71 % (pixel size $26{\mu}m/line$ width $8{\mu}m$) in the visible range of spectrum, respectively. On the other hand, the Ag-grid TCEs fabricated by the lift-off process showed lower sheet resistance values of $2.163{\Omega}/{\square}$ (pixel size $26{\mu}m/line$ width $18{\mu}m$) and $4.932{\Omega}/{\square}$ (pixel size $30{\mu}m/line$ width $5{\mu}m$), respectively.

Fabrication of Large Area Transmission Electro-Absorption Modulator with High Uniformity Backside Etching

  • Lee, Soo Kyung;Na, Byung Hoon;Choi, Hee Ju;Ju, Gun Wu;Jeon, Jin Myeong;Cho, Yong Chul;Park, Yong Hwa;Park, Chang Young;Lee, Yong Tak
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.220-220
    • /
    • 2013
  • Surface-normal transmission electro-absorption modulator (EAM) are attractive for high-definition (HD) three-dimensional (3D) imaging application due to its features such as small system volume and simple epitaxial structure [1,2]. However, EAM in order to be used for HD 3D imaging system requires uniform modulation performance over large area. To achieve highly uniform modulation performance of EAM at the operating wavelength of 850 nm, it is extremely important to remove the GaAs substrate over large area since GaAs material has high absorption coefficient below 870 nm which corresponds to band-edge energy of GaAs (1.424 eV). In this study, we propose and experimentally demonstrate a transmission EAM in which highly selective backside etching methods which include lapping, dry etching and wet etching is carried out to remove the GaAs substrate for achieving highly uniform modulation performance. First, lapping process on GaAs substrate was carried out for different lapping speeds (5 rpm, 7 rpm, 10 rpm) and the thickness was measured over different areas of surface. For a lapping speed of 5 rpm, a highly uniform surface over a large area ($2{\times}1\;mm^2$) was obtained. Second, optimization of inductive coupled plasma-reactive ion etching (ICP-RIE) was carried out to achieve anisotropy and high etch rate. The dry etching carried out using a gas mixture of SiCl4 and Ar, each having a flow rate of 10 sccm and 40 sccm, respectively with an RF power of 50 W, ICP power of 400 W and chamber pressure of 2 mTorr was the optimum etching condition. Last, the rest of GaAs substrate was successfully removed by highly selective backside wet etching with pH adjusted solution of citric acid and hydrogen peroxide. Citric acid/hydrogen peroxide etching solution having a volume ratio of 5:1 was the best etching condition which provides not only high selectivity of 235:1 between GaAs and AlAs but also good etching profile [3]. The fabricated transmission EAM array have an amplitude modulation of more than 50% at the bias voltage of -9 V and maintains high uniformity of >90% over large area ($2{\times}1\;mm^2$). These results show that the fabricated transmission EAM with substrate removed is an excellent candidate to be used as an optical shutter for HD 3D imaging application.

  • PDF

Study of Selective Etching of GaAs over AlGaAs and InGaP Semiconductors in High Density Planar Inductively Coupled BCl3/SF6 Plasmas (고밀도 평판형 유도결합 BCl3/SF6 플라즈마를 이용한 GaAs/AlGaAs와 InGaP 반도체의 선택적 식각에 관한 연구)

  • Yoo Seungryul;Ryu Hyunwoo;Lim Wantae;Lee Jewon;Cho Guan Sik;Jeon Minhyon;Song Hanjung;Lee BongJu;Ko Jong Soo;Go Jeung Sang;Pearton S. J.
    • Korean Journal of Materials Research
    • /
    • v.15 no.3
    • /
    • pp.161-165
    • /
    • 2005
  • We investigated selective dry etching of GaAs over AlGaAs and InGaP in high density planar inductively coupled $BCl_3/SF_6$ plasmas. The process parameters were ICP source power (0-500 W), RE chuck power (0-30W) and gas composition $(60-100\%\;BCl_3\;in\;BCl_3/SF_6)$. The process results were characterized in terms of etch rate, selectivities of GaAs over AlGaAs and InGaP, surface morphology, surface roughness and residues after etching. $BCl_3/SF_6$ selective etching of GaAs showed quite good results in this study. Selectivities of GaAs $(GaAs:AlGaAs\~36:1,\;GaAs:InGaP\~45:1)$ were superior at $18BCl_3/2SF_6$, 20 W RF chuck power, 300 W ICP source power and 7.5 mTorr. Addition of $(5-15\%)SF_6\;to\;BCl_3$ produced relatively high selectivities of GaAs over AlGaAs and InGaP during etching due to decrease of etch rates of AlGaAs and InGaP (boiling points of etch products: $AlF_3\~1300^{\circ}C,\;InF_3>1200^{\circ}C$ at atmosphere) at the condition. SEM and AFM data showed slightly sloped sidewall and somewhat rough surface$(RMS\~9nm)$. XPS study on the surface of processed GaAs proved a very clean surface after dry etching. It shows that planar inductively coupled $BCl_3/SF_6$ plasmas could be a good candidate for selective dry etching of GaAs over AlGaAs and InGaP.

Study of Surface Reaction and Gas Phase Chemistries in High Density C4F8/O2/Ar and C4F8/O2/Ar/CH2F2 Plasma for Contact Hole Etching

  • Kim, Gwan-Ha
    • Transactions on Electrical and Electronic Materials
    • /
    • v.16 no.2
    • /
    • pp.90-94
    • /
    • 2015
  • In this study, the characterizations of oxide contact hole etching are investigated with C4F8/O2/Ar and CH2F2/C4F8/O2/ Ar plasma. As the percent composition of C4F8 in a C4F8/O2/Ar mixture increases, the amount of polymer deposited on the etched surface also increases because the CxFy polymer layer retards the reaction of oxygen atoms with PR. Adding CH2F2 into the C4F8/O2/Ar plasma increases the etch rate of the oxide and the selectivity of oxide to PR. The profile of contact holes was close to 90°, and no visible residue was seen in the SEM image at a C4F8/(C4F8+O2) ratio of 58%. The changes of chemical composition in the chamber were analyzed using optical emission spectroscopy, and the chemical reaction on the etched surface was investigated using X-ray photoelectron spectroscopy.

Fabrication of Through-hole Interconnect in Si Wafer for 3D Package (3D 패키지용 관통 전극 형성에 관한 연구)

  • Kim, Dae-Gon;Kim, Jong-Woong;Ha, Sang-Su;Jung, Jae-Pil;Shin, Young-Eui;Moon, Jeong-Hoon;Jung, Seung-Boo
    • Journal of Welding and Joining
    • /
    • v.24 no.2
    • /
    • pp.64-70
    • /
    • 2006
  • The 3-dimensional (3D) chip stacking technology is a leading technology to realize a high density and high performance system in package (SiP). There are several kinds of methods for chip stacking, but the stacking and interconnection through Cu filled through-hole via is considered to be one of the most advanced stacking technologies. Therefore, we studied the optimum process of through-hole via formation and Cu filling process for Si wafer stacking. Through-hole via was formed with DRIE (Deep Reactive ion Etching) and Cu filling was realized with the electroplating method. The optimized conditions for the via formation were RE coil power of 200 W, etch/passivation cycle time of 6.5 : 6 s and SF6 : C4F8 gas flow rate of 260 : 100 sccm. The reverse pulsed current of 1.5 A/dm2 was the most favorable condition for the Cu electroplating in the via. The Cu filled Si wafer was chemically and mechanically polished (CMP) for the following flip chip bumping technology.

Investigation of Ge2Sb2Te5 Etching Damage by Halogen Plasmas (할로겐 플라즈마에 의한 Ge2Sb2Te5 식각 데미지 연구)

  • Jang, Yun Chang;Yoo, Chan Young;Ryu, Sangwon;Kwon, Ji Won;Kim, Gon Ho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.18 no.4
    • /
    • pp.35-39
    • /
    • 2019
  • Effect of Ge2Sb2Te5 (GST) chalcogen composition on plasma induced damage was investigated by using Ar ions and F radicals. Experiments were carried out with three different modes; the physical etching, the chemical etching, and the ion-enhanced chemical etching mode. For the physical etching by Ar ions, the sputtering yield was obtained according to ion bombarding energy and there was no change in GST composition ratio. In the plasma mode, the lowest etch rate was measured at the same applied power and there was also no plasma induced damage. In the ion-enhanced chemical etching conditions irradiated with high energy ions and F halogen radicals, the GST composition ratio was changed according to the density of F radicals, resulting in higher roughness of the etched surface. The change of GST composition ratio in halogen plasma is caused by the volatility difference of GST-halogen compounds with high energy ions over than the activation energy of surface reactions.

Cu dry etching by the reaction of Cu oxide with H(hfac) (Cu oxide의 형성과 H(hfac) 반응을 이용한 Cu 박막의 건식식각)

  • Yang, Hui-Jeong;Hong, Seong-Jin;Jo, Beom-Seok;Lee, Won-Hui;Lee, Jae-Gap
    • Korean Journal of Materials Research
    • /
    • v.11 no.6
    • /
    • pp.527-532
    • /
    • 2001
  • Dry etching of copper film using $O_2$ plasma and H(hfac) has been investigated. A one-step process consisting of copper film oxidation with an $O_2$ plasma and the removal of surface copper oxide by the reaction with H(hfac) to form volatile Cu(hfac)$_2$ and $H_2O$ was carried but. The etching rate of Cu in the range from 50 to 700 /min was obtained depending on the substrate temperature, the H(hfac)/O$_2$ flow rate ratio, and the plasma power. The copper film etch rate increased with increasing RF power at the temperatures higher than 215$^{\circ}C$. The optimum H(hfac)/O$_2$ flow rate ratio was 1:1, suggesting that the oxidation process and the reaction with H(hfac) should be in balance. Cu patterning using a Ti mask was performed at a flow rate ratio of 1:1 on 25$0^{\circ}C$\ulcorner and an isotropic etching profile with a taper slope of 30$^{\circ}$was obtained. Cu dry patterning with a tapered angle which is necessary for the advanced high resolution large area thin film transistor liquid-crystal displays was thus successfully obtained from one step process by manipulating the substrate temperature, RF power, and flow rate ratio.

  • PDF