• 제목/요약/키워드: four mask process

검색결과 29건 처리시간 0.036초

Improvement of Slit Photolithography Process Reliability for Four-Mask Fabrication process in TFT LCDs

  • Min, Tae-Yup;Qiu, Haijun;Wang, Zhangtao;Gao, Wenbao;Choi, Sang-Un;Lee, Sung-Kyu
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2008년도 International Meeting on Information Display
    • /
    • pp.851-854
    • /
    • 2008
  • In order to reduce the manufacturing cost of TFT LCDs and cut down an amount facilities invested, there are many LCD panel makers contributes to convert the current Five-mask manufacturing process into the noble Four-mask fabrication process. We optimized the slit mask to improve the poor process reliability.

  • PDF

Facilitation of the four-mask process by the double-layered Ti/Si barrier metal for oxide semiconductor TFTs

  • Hino, Aya;Maeda, Takeaki;Morita, Shinya;Kugimiya, Toshihiro
    • Journal of Information Display
    • /
    • 제13권2호
    • /
    • pp.61-66
    • /
    • 2012
  • The double-layered Ti/Si barrier metal is demonstrated for the source/drain Cu interconnections in oxide semiconductor thin-film transistors (TFTs). The transmission electromicroscopy and ion mass spectroscopy analyses revealed that the double-layered barrier structure suppresses the interfacial reaction and the interdiffusion at the interface after thermal annealing at $350^{\circ}C$. The underlying Si layer was found to be very useful for the etch stopper during wet etching for the Cu/Ti layers. The oxide TFTs with a double-layered Ti/Si barrier metal possess excellent TFT characteristics. It is concluded that the present barrier structure facilitates the back-channel-etch-type TFT process in the mass production line, where the four- or five-mask process is used.

Fabrication of TFTs for LCD using 3-Mask Process

  • You, Soon-Sung;Cho, Heung-Lyul;Kwon, Oh-Nam;Nam, Seung-Hee;Chang, Yoon-Gyoung;Kim, Ki-Yong;Cha, Soo-Yeoul;Ahn, Byung-Chul;Chung, In-Jae
    • Journal of Information Display
    • /
    • 제6권3호
    • /
    • pp.18-21
    • /
    • 2005
  • A new technology for reducing photolithography process from a four step to a three step process in the fabrication of TFT LCD is introduced. The core technology for 3-mask-TFT processes is the lift-off process [1], by which the PAS and PXL layers can be formed simultaneously. A different method of the lift-off process was developed in order to enhance the performance of efficiency with conventional positive and not negative PR which is the generally used in other lift-off process. In addition, the removal capacity of the ITO/PR in lift-off process was evaluated. The evaluation results showed that the new process can be run in conventional TFT production condition. In order to apply this new process in existing TFT process, several tests were conducted to ensure stability of the TFT process. It was found that the outgases from PR on the substrate in ITO sputtering chamber do not raise any problem, and the deposited ITO film beside the PR has conventional ITO qualities. Furthemore, the particles that were produced due to the ITO chips in PR strip bath could be reduced by the existing filtering system of stripper. With the development of total process and design of the structure for TFT using this technology, 3-mask-panels were achieved in TN and IPS modes, which showed the same display performances as those with the conventional 4mask process. The applicability and usefulness of the 3-mask process has already verified in the mass production line and in fact it currently being used for the production of some products.

Development of New LTPS Process

  • Yi, Chung;Park, Kyung-Min;Choi, Pil-Mo;Kim, Ung-Sik;Kim, Dong-Byum;Kim, Chi-Woo
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2004년도 Asia Display / IMID 04
    • /
    • pp.1024-1026
    • /
    • 2004
  • We have developed the five mask $PMOS^1$ and the six mask CMOS process architecture for poly-Si TFT. In order to have a competitive process with that for a-Si TFT, the simple co-planar electrode structure whose data line electrode and pixel electrode are on the same plane was adopted. In addition, RGB + White four color $technology^2$ were applied to achieve high aperture ratio and transmittance. Using the aforementioned process architecture and four color technology, 2.0 inch qCIF transmissive micro-reflectance (TMR) device was successfully fabricated.

  • PDF

화상의 골격화에 대한 최적화 방법 (The Optimal Skeleton Method of an Image)

  • 신충호;오무송
    • 한국멀티미디어학회논문지
    • /
    • 제6권2호
    • /
    • pp.224-229
    • /
    • 2003
  • 골격선 추출에 대한 효율적인 방법을 이용해서 골격화방법을 제안한다 제안한 방법은 윤곽선 검출과정을 거친 후 본 방법을 적용함으로써 영상 골격화 효율을 높이고자한다 기존의 골격화 방법은 Zhang, Lu/Wang, 그리고 Paul방법을 사용하였다. 제안된 방법은 병렬적인 처리 방법과 더 해서 반복과정 에서 마스크의 네(화소) 이웃의 값과 네(화소) 이웃외의 주변 화소값을 비교하여 원본이미지와 일치시켜 처리하는 방식이 다. 결론적으로, 제안된 방법은 향상된 골격선의 연결과 품질 등을 보였다.

  • PDF

웨어러블 IoT기반 스마트 마스크 설계 (Design of Wearable IoT based Smart Mask)

  • 박용현;정성운;정경권
    • 한국정보통신학회:학술대회논문집
    • /
    • 한국정보통신학회 2021년도 추계학술대회
    • /
    • pp.300-302
    • /
    • 2021
  • 현재 COVID-19 상황에서 마스크 사용의 중요성이 강조되고 있다. 본 논문에서는 마스크의 상태 모니터링을 위한 IoT기반 스마트 마스크를 제안한다. 제안한 시스템은 센서 모듈과 스마트폰 앱으로 구성된다. 센서 모듈은 온습도센서, 펄스 옥시미터로 구성된 심박 센서, BLE 칩으로 구성된다. 이러한 센서들은 BLE 칩과 I2C로 연결되어 데이터를 수집하고 전송한다. 스마트폰 앱은 안드로이드로 개발되며, 수신된 센서 데이터를 처리, 저장, 출력한다.

  • PDF

아웃도어 라이프 활성화를 위안 페이스 커버 개발 연구 (The Development of Face Cover Designs to Activate Outdoor Life)

  • 김찬주;노미경
    • 복식
    • /
    • 제59권1호
    • /
    • pp.47-59
    • /
    • 2009
  • This paper aimed at the development of face covers which is face protection articles for blocking UV rays and yellow sands, with a view to assist the further activation of outdoor life. The four-stage design process of field study, design, design evaluation, final selection of designs was set up for the effective product development. Field study included on-line and off-line market survey on the products for sale in market and observation on those wearing face covers. Depth interviews were done to know consumer understandings and satisfaction levels for current face covers. The results of market survey showed that most of the face covers currently in the market were mask types, which were produced for the blocking of dust and yellow sands rather than UV ray protection. The major clients of the mask were the women in their 30's-50's and the designs for these clients were very limited. Since the number of younger women and men enjoy the outdoor sports has increased, the face covers for these people should be developed. For this purpose, the direction of new face cover design had 2 points: diversification of design in terms of color, material, shape and giving seasonal differences. 12 designs were designed on the first design stage, but after both wearing test by 16 testees and UV ray blocking test to evaluate these designs, 6 face cover designs were finally selected.

Modeling with Thin Film Thickness using Machine Learning

  • Kim, Dong Hwan;Choi, Jeong Eun;Ha, Tae Min;Hong, Sang Jeen
    • 반도체디스플레이기술학회지
    • /
    • 제18권2호
    • /
    • pp.48-52
    • /
    • 2019
  • Virtual metrology, which is one of APC techniques, is a method to predict characteristics of manufactured films using machine learning with saving time and resources. As the photoresist is no longer a mask material for use in high aspect ratios as the CD is reduced, hard mask is introduced to solve such problems. Among many types of hard mask materials, amorphous carbon layer(ACL) is widely investigated due to its advantages of high etch selectivity than conventional photoresist, high optical transmittance, easy deposition process, and removability by oxygen plasma. In this study, VM using different machine learning algorithms is applied to predict the thickness of ACL and trained models are evaluated which model shows best prediction performance. ACL specimens are deposited by plasma enhanced chemical vapor deposition(PECVD) with four different process parameters(Pressure, RF power, $C_3H_6$ gas flow, $N_2$ gas flow). Gradient boosting regression(GBR) algorithm, random forest regression(RFR) algorithm, and neural network(NN) are selected for modeling. The model using gradient boosting algorithm shows most proper performance with higher R-squared value. A model for predicting the thickness of the ACL film within the abovementioned conditions has been successfully constructed.

역행 차폐를 통해 본 시각작업기억의 공고화 및 비교처리 과정 (The Consolidation and Comparison Processes in Visual Working Memory Tested under Pattern-Backward Masking)

  • 한지은;현주석
    • 인지과학
    • /
    • 제22권4호
    • /
    • pp.365-384
    • /
    • 2011
  • 변화탐지 과제를 사용한 최근의 시각작업기억 연구는 기억된 표상을 감각적 표상과 대조하는 비교처리 과정이 상대적으로 신속하게 수행될 가능성을 보고하였다[1]. 이러한 가설을 검증하기 위해, 본 연구에서는 기억항목에 대한 공고한 표상 형성이 요구되는 시점 또는 기억항목과 검사항목에 대한 비교 처리가 요구되는 시점에 역행패턴차폐 자극을 제시하여 두 처리 과정에서의 차폐 간섭 효과의 발현 유무를 비교하였다. 실험 1에서는 네 개의 기억항목 또는 검사항목의 제시에 뒤이어 64ms 또는 150ms의 차폐출현간격을 두고 차폐자극이 제시되었으며 피험자는 기억과 검사항목 간 차이 유무를 보고하는 변화탐지 과제를 수행하였다. 실험 결과, 기억항목에 뒤이어 차폐가 제시된 경우(기억차폐 시행)에는 차폐출현간격에 관계없이 변화탐지 정확도가 저조했으나 검사항목에 뒤이어 차폐가 제시된 경우(검사차폐시행)에는 차폐출현간격 64ms 조건에 비해 150ms 조건에서 변화탐지 정확도가 상대적으로 높았다. 실험 2에서는 항목의 개수를 변화시키고(1, 2, 3, 4개) 차폐출현간격을 세분화(117ms, 234ms, 350ms, 584ms)시켜 항목 개수의 증가와 차폐출현간격의 감소에 따른 간섭 효과의 증감패턴을 조사하였다. 기억차폐시행에서는 항목의 개수가 늘어나고 차폐출현간격이 짧아 질수록 점차 증가하는 간섭패턴이 관찰되었으나, 검사차폐시행에서는 이러한 패턴이 상대적으로 미미하였다. 이러한 결과는 시각작업기억의 비교처리과정이 공고화 과정에 비해 상대적으로 신속하고 정확하게 수행된다는 기존 연구의 제안을 지지한다.

  • PDF

3차원 LIGA 미세구조물 제작을 위한 마이크로 액추에이터 내장형 X-선 마스크 (Deep X-ray Mask with Integrated Micro-Actuator for 3D Microfabrication via LIGA Process)

  • 이광철;이승섭
    • 대한기계학회논문집A
    • /
    • 제26권10호
    • /
    • pp.2187-2193
    • /
    • 2002
  • We present a novel method for 3D microfabrication with LIGA process that utilizes a deep X-ray mask in which a micro-actuator is integrated. The integrated micro-actuator oscillates the X-ray absorber, which is formed on the shuttle mass of the micro-actuator, during X-ray exposures to modify the absorbed dose profile in X-ray resist, typically PMMA. 3D PMMA microstructures according to the modulated dose contour are revealed after GG development. An X-ray mask with integrated comb drive actuator is fabricated using deep reactive ion etching, absorber electroplating, and bulk micromachining with silicon-on-insulator (SOI) wafer. 1mm $\times$ 1 mm, 20 $\mu$m thick silicon shuttle mass as a mask blank is supported by four 1 mm long suspension beams and is driven by the comb electrodes. A 10 $\mu$m thick, 50 $\mu$m line and spaced gold absorber pattern is electroplated on the shuttle mass before the release step. The fundamental frequency and amplitude are around 3.6 kHz and 20 $\mu$m, respectively, for a do bias of 100 V and an ac bias of 20 $V_{p-p}$ (peak-peak). Fabricated PMMA microstructure shows 15.4 $\mu$m deep, S-shaped cross section in the case of 1.6 kJ $cm^{-3}$ surface dose and GG development at 35$^{\circ}C$ for 40 minutes.