• Title/Summary/Keyword: four mask process

Search Result 29, Processing Time 0.022 seconds

Improvement of Slit Photolithography Process Reliability for Four-Mask Fabrication process in TFT LCDs

  • Min, Tae-Yup;Qiu, Haijun;Wang, Zhangtao;Gao, Wenbao;Choi, Sang-Un;Lee, Sung-Kyu
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2008.10a
    • /
    • pp.851-854
    • /
    • 2008
  • In order to reduce the manufacturing cost of TFT LCDs and cut down an amount facilities invested, there are many LCD panel makers contributes to convert the current Five-mask manufacturing process into the noble Four-mask fabrication process. We optimized the slit mask to improve the poor process reliability.

  • PDF

Facilitation of the four-mask process by the double-layered Ti/Si barrier metal for oxide semiconductor TFTs

  • Hino, Aya;Maeda, Takeaki;Morita, Shinya;Kugimiya, Toshihiro
    • Journal of Information Display
    • /
    • v.13 no.2
    • /
    • pp.61-66
    • /
    • 2012
  • The double-layered Ti/Si barrier metal is demonstrated for the source/drain Cu interconnections in oxide semiconductor thin-film transistors (TFTs). The transmission electromicroscopy and ion mass spectroscopy analyses revealed that the double-layered barrier structure suppresses the interfacial reaction and the interdiffusion at the interface after thermal annealing at $350^{\circ}C$. The underlying Si layer was found to be very useful for the etch stopper during wet etching for the Cu/Ti layers. The oxide TFTs with a double-layered Ti/Si barrier metal possess excellent TFT characteristics. It is concluded that the present barrier structure facilitates the back-channel-etch-type TFT process in the mass production line, where the four- or five-mask process is used.

Fabrication of TFTs for LCD using 3-Mask Process

  • You, Soon-Sung;Cho, Heung-Lyul;Kwon, Oh-Nam;Nam, Seung-Hee;Chang, Yoon-Gyoung;Kim, Ki-Yong;Cha, Soo-Yeoul;Ahn, Byung-Chul;Chung, In-Jae
    • Journal of Information Display
    • /
    • v.6 no.3
    • /
    • pp.18-21
    • /
    • 2005
  • A new technology for reducing photolithography process from a four step to a three step process in the fabrication of TFT LCD is introduced. The core technology for 3-mask-TFT processes is the lift-off process [1], by which the PAS and PXL layers can be formed simultaneously. A different method of the lift-off process was developed in order to enhance the performance of efficiency with conventional positive and not negative PR which is the generally used in other lift-off process. In addition, the removal capacity of the ITO/PR in lift-off process was evaluated. The evaluation results showed that the new process can be run in conventional TFT production condition. In order to apply this new process in existing TFT process, several tests were conducted to ensure stability of the TFT process. It was found that the outgases from PR on the substrate in ITO sputtering chamber do not raise any problem, and the deposited ITO film beside the PR has conventional ITO qualities. Furthemore, the particles that were produced due to the ITO chips in PR strip bath could be reduced by the existing filtering system of stripper. With the development of total process and design of the structure for TFT using this technology, 3-mask-panels were achieved in TN and IPS modes, which showed the same display performances as those with the conventional 4mask process. The applicability and usefulness of the 3-mask process has already verified in the mass production line and in fact it currently being used for the production of some products.

Development of New LTPS Process

  • Yi, Chung;Park, Kyung-Min;Choi, Pil-Mo;Kim, Ung-Sik;Kim, Dong-Byum;Kim, Chi-Woo
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2004.08a
    • /
    • pp.1024-1026
    • /
    • 2004
  • We have developed the five mask $PMOS^1$ and the six mask CMOS process architecture for poly-Si TFT. In order to have a competitive process with that for a-Si TFT, the simple co-planar electrode structure whose data line electrode and pixel electrode are on the same plane was adopted. In addition, RGB + White four color $technology^2$ were applied to achieve high aperture ratio and transmittance. Using the aforementioned process architecture and four color technology, 2.0 inch qCIF transmissive micro-reflectance (TMR) device was successfully fabricated.

  • PDF

The Optimal Skeleton Method of an Image (화상의 골격화에 대한 최적화 방법)

  • 신충호;오무송
    • Journal of Korea Multimedia Society
    • /
    • v.6 no.2
    • /
    • pp.224-229
    • /
    • 2003
  • In this paper, an effective skeleton method is proposed in order to obtain an enhanced digital image of skeleton line. The edge-detection method is applied in the preprocessing stage and after that, the modified Parallel method is applied to obtain the improved image of skeleton line. The existing parallel methods are Zhang, Lu and Wang, and Paul methods. Firstly, a parallel process method Is applied, and the proposed method is applied that the original is compared with the four neighbor pixels and four corner pixels of mask. In conclusion, the proposed method shows an improved connectivity and quality of skeleton line.

  • PDF

Design of Wearable IoT based Smart Mask (웨어러블 IoT기반 스마트 마스크 설계)

  • Park, Yonghyun;Jeong, SeongWoon;Jung, Kyung Kwon
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2021.10a
    • /
    • pp.300-302
    • /
    • 2021
  • Usage of a face mask has become mandatory in many countries after the COVID-19. This paper described to develop a IoT based smart mask system for monitoring face mask. The system developed in this paper has two main units, a sensor module, and a smartphone application. The sensor module consists of four components: temperature and humidity sensor, a heart rate sensor, and a BLE chip. This components work as a unit to collect data and stream them through an I2C port over BLE to a connected mobile device. The smartphone application is an Android application developed for smart phones. It enables the Android device to communicate with the sensor to receive sensor data, process, store and display results.

  • PDF

The Development of Face Cover Designs to Activate Outdoor Life (아웃도어 라이프 활성화를 위안 페이스 커버 개발 연구)

  • Kim, Chan-Ju;Ro, Mi-Kyung
    • Journal of the Korean Society of Costume
    • /
    • v.59 no.1
    • /
    • pp.47-59
    • /
    • 2009
  • This paper aimed at the development of face covers which is face protection articles for blocking UV rays and yellow sands, with a view to assist the further activation of outdoor life. The four-stage design process of field study, design, design evaluation, final selection of designs was set up for the effective product development. Field study included on-line and off-line market survey on the products for sale in market and observation on those wearing face covers. Depth interviews were done to know consumer understandings and satisfaction levels for current face covers. The results of market survey showed that most of the face covers currently in the market were mask types, which were produced for the blocking of dust and yellow sands rather than UV ray protection. The major clients of the mask were the women in their 30's-50's and the designs for these clients were very limited. Since the number of younger women and men enjoy the outdoor sports has increased, the face covers for these people should be developed. For this purpose, the direction of new face cover design had 2 points: diversification of design in terms of color, material, shape and giving seasonal differences. 12 designs were designed on the first design stage, but after both wearing test by 16 testees and UV ray blocking test to evaluate these designs, 6 face cover designs were finally selected.

Modeling with Thin Film Thickness using Machine Learning

  • Kim, Dong Hwan;Choi, Jeong Eun;Ha, Tae Min;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.18 no.2
    • /
    • pp.48-52
    • /
    • 2019
  • Virtual metrology, which is one of APC techniques, is a method to predict characteristics of manufactured films using machine learning with saving time and resources. As the photoresist is no longer a mask material for use in high aspect ratios as the CD is reduced, hard mask is introduced to solve such problems. Among many types of hard mask materials, amorphous carbon layer(ACL) is widely investigated due to its advantages of high etch selectivity than conventional photoresist, high optical transmittance, easy deposition process, and removability by oxygen plasma. In this study, VM using different machine learning algorithms is applied to predict the thickness of ACL and trained models are evaluated which model shows best prediction performance. ACL specimens are deposited by plasma enhanced chemical vapor deposition(PECVD) with four different process parameters(Pressure, RF power, $C_3H_6$ gas flow, $N_2$ gas flow). Gradient boosting regression(GBR) algorithm, random forest regression(RFR) algorithm, and neural network(NN) are selected for modeling. The model using gradient boosting algorithm shows most proper performance with higher R-squared value. A model for predicting the thickness of the ACL film within the abovementioned conditions has been successfully constructed.

The Consolidation and Comparison Processes in Visual Working Memory Tested under Pattern-Backward Masking (역행 차폐를 통해 본 시각작업기억의 공고화 및 비교처리 과정)

  • Han, Ji-Eun;Hyun, Joo-Seok
    • Korean Journal of Cognitive Science
    • /
    • v.22 no.4
    • /
    • pp.365-384
    • /
    • 2011
  • A recent study of visual working memory(VWM) under a change detection paradigm proposed an idea that the comparison process of VWM representations against incoming perceptual inputs can be performed more rapidly than the process of forming durable memory representations into VWM. To test this hypothesis, we compared the size of interference effect caused by pattern-backward masks following after either the sample(sample-mask condition) or test items (test-mask condition). In Experiment 1, subjects performed a color change detection task for four colored-boxes, and pattern masks with mask-onset asynchronies(MSOA) of either 64ms or 150ms followed each item location either after the sample or after the test items. The change detection accuracy was both comparable in the sample-mask condition regardless of the MSOAs, whereas the accuracy in the trials with a MSOA of 150ms was substantially higher than the MSOA of 65ms in the test-masking condition. In Experiment 2, we manipulated setsizes to 1, 2, 3, 4 items and also MSOAs to 117ms, 234ms, 350ms, 484ms and compared the pattern of interference across a variety of setsize and MSOA conditions. The sample-mask condition yielded a pattern of masking interference which became more evident as the setsize increases and as the MSOA was shorter. However, this pattern of interference was less apparent in the test-mask condition. These results indicate that the comparison process between remembered items in VWM and perceptual inputs is less vulnerable to interference from pattern-backward masking than VWM consolidation is, and thus support for the recent idea that the comparison process in VWM can be performed very fast and accurately.

  • PDF

Deep X-ray Mask with Integrated Micro-Actuator for 3D Microfabrication via LIGA Process (3차원 LIGA 미세구조물 제작을 위한 마이크로 액추에이터 내장형 X-선 마스크)

  • Lee, Kwang-Cheol;Lee, Seung-S.
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.26 no.10
    • /
    • pp.2187-2193
    • /
    • 2002
  • We present a novel method for 3D microfabrication with LIGA process that utilizes a deep X-ray mask in which a micro-actuator is integrated. The integrated micro-actuator oscillates the X-ray absorber, which is formed on the shuttle mass of the micro-actuator, during X-ray exposures to modify the absorbed dose profile in X-ray resist, typically PMMA. 3D PMMA microstructures according to the modulated dose contour are revealed after GG development. An X-ray mask with integrated comb drive actuator is fabricated using deep reactive ion etching, absorber electroplating, and bulk micromachining with silicon-on-insulator (SOI) wafer. 1mm $\times$ 1 mm, 20 $\mu$m thick silicon shuttle mass as a mask blank is supported by four 1 mm long suspension beams and is driven by the comb electrodes. A 10 $\mu$m thick, 50 $\mu$m line and spaced gold absorber pattern is electroplated on the shuttle mass before the release step. The fundamental frequency and amplitude are around 3.6 kHz and 20 $\mu$m, respectively, for a do bias of 100 V and an ac bias of 20 $V_{p-p}$ (peak-peak). Fabricated PMMA microstructure shows 15.4 $\mu$m deep, S-shaped cross section in the case of 1.6 kJ $cm^{-3}$ surface dose and GG development at 35$^{\circ}C$ for 40 minutes.