• 제목/요약/키워드: etching mask

검색결과 234건 처리시간 0.025초

홀로그래픽 리소그래피에 의한 미세패턴 형성과 MOCVD에 의한 양자세선 어레이의 제작 (Micropattern generation by holographic lithography and fabrication of quantum wire array by MOCVD)

  • 김태근;조성우;임현식;김용;김무성;박정호;민석기
    • 전자공학회논문지A
    • /
    • 제33A권6호
    • /
    • pp.114-119
    • /
    • 1996
  • The use of holographic interference lithography and removal techniques to corrugate GaAs substrate have been studied. The periodic photoresist structure, which serves as a protective mask during etching, is holographically prepared. Subsequently periodic V-grooved pattern is formed on the GaAs substrate by conventional a H$_{2}$SO$_{4}$-H$_{2}$O$_{2}$-H$_{2}$O wet etching. The linewidth of a GaAs pattern is about 0.4$\mu$m and the depth is 0.5$\mu$m A quantum wires(QWRs) array is well formed on the V-grooved substrate by MOCVD (metalorganic chemical vapor deposition) growth of GaAs/Al$_{0.5}$Ga$_{0.5}$As (50$\AA$/300$\AA$) quantum wells. The formation of QWR array is confirmed by the temperature dependent photoluminescence (PL) measurement. The intensive PL peak with a FWHM of 6meV at 21K shows the high quality of the QWR array.

  • PDF

블록 공중합체 박막을 이용한 텅스텐 나노점의 형성 (Fabrication of Tungsten Nano Dot by Using Block Copolymer Thin Film)

  • 강길범;김성일;김영환;박민철;김용태;이창우
    • 마이크로전자및패키징학회지
    • /
    • 제13권3호
    • /
    • pp.13-17
    • /
    • 2006
  • 밀도가 높고 주기적인 배열의 기공과 나노패턴이 된 텅스텐 나노점이 실리콘 산화물/실리콘 기판위에 형성이 되었다. 기공의 지름은 25 nm이고 깊이는 40 nm 이었으며 기공과 기공 사이의 거리는 60 nm이었다. nm 크기의 패턴을 형성시키기 위해서 자기조립물질을 사용했으며 폴리스티렌(PS) 바탕에 벌집형태로 평행하게 배열된 실린더 모양의 폴리메틸메타아크릴레이트(PMMA)의 구조를 형성했다. 폴리메틸메타아크릴레이트를 아세트산으로 제거하여 폴리스티렌만 남아있는 건식 식각용 마스크를 만들었다. 실리콘 산화막은 불소 기반의 화학반응성 식각법을 이용하여 식각했다. nm크기의 트렌치 안에 선택적으로 증착된 텅스텐 나노점을 만들기 위해서 저압화학기상증착(LPCVD)방법을 이용하였다. 텅스텐 나노점과 실리콘 트렌치의 지름은 26 nm 와 30 nm였다.

  • PDF

Investigation on Etch Characteristics of FePt Magnetic Thin Films Using a $CH_4$/Ar Plasma

  • Kim, Eun-Ho;Lee, Hwa-Won;Lee, Tae-Young;Chung, Chee-Won
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.167-167
    • /
    • 2011
  • Magnetic random access memory (MRAM) is one of the prospective semiconductor memories for next generation. It has the excellent features including nonvolatility, fast access time, unlimited read/write endurance, low operating voltage, and high storage density. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal-oxide semiconductor (CMOS). The MTJ stack is composed of various magnetic materials, metals, and a tunneling barrier layer. For the successful realization of high density MRAM, the etching process of magnetic materials should be developed. Among various magnetic materials, FePt has been used for pinned layer of MTJ stack. The previous etch study of FePt magnetic thin films was carried out using $CH_4/O_2/NH_3$. It reported only the etch characteristics with respect to the variation of RF bias powers. In this study, the etch characteristics of FePt thin films have been investigated using an inductively coupled plasma reactive ion etcher in various etch chemistries containing $CH_4$/Ar and $CH_4/O_2/Ar$ gas mixes. TiN thin film was employed as a hard mask. FePt thin films are etched by varying the gas concentration. The etch characteristics have been investigated in terms of etch rate, etch selectivity and etch profile. Furthermore, x-ray photoelectron spectroscopy is applied to elucidate the etch mechanism of FePt thin films in $CH_4$/Ar and $CH_4/O_2/Ar$ chemistries.

  • PDF

Multi-layer resist (MLR) structure with a very thin DLC layer

  • Kim, H.T.;Kwon, B.S.;Park, S.M.;Lee, N.E.;Cho, H.J.;Hong, B.Y.
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2007년도 춘계학술발표회 초록집
    • /
    • pp.71-72
    • /
    • 2007
  • In this study, we investigated the fabrication of MLR (multi-layer resist) with a very thin diamond-like carbon (DLC) layer. ArF PR/$SiO_2$/DLC MLR structure was investigated and etching characteristics of the DLC layer was patterned using $SiO_2$ hard-mask by varying the process parameters such as different high-frequency/low-frequency combination ($f_{LF}/f_{HF}$), HF/LF power ratio ($P_{HF}/P_{LF}$), $O_2$ flow and $N_2$ flow rate in $O_2/N_2$/Ar plasmas. The results indicated an increased etch rate of DLC for the higher $f_{LF}/f_{HF}$ combination and for the increased low-frequency power ($P_{LF}$). And the etch rate of DLC was decreased with increasing the $N_2$ flow rate in $O_2/N_2$/Ar plasmas. In order to confirm the application of DLC MLR for the etching process of silicon oxide, the stack of ArF PR/BARC/$SiO_2$/DLC/TEOS/Si was investigated.

  • PDF

고전압 전력반도체 소자 개발을 위한 단위공정에서 식각공정과 이온주입공정의 영향 분석 (Analysis of the Effect of the Etching Process and Ion Injection Process in the Unit Process for the Development of High Voltage Power Semiconductor Devices)

  • 최규철;김경범;김봉환;김종민;장상목
    • 청정기술
    • /
    • 제29권4호
    • /
    • pp.255-261
    • /
    • 2023
  • 파워반도체는 전력의 변환, 변압, 분배 및 전력제어 등을 감당하는데 사용되는 반도체이다. 최근 세계적으로 고전압 파워반도체의 수요는 다양한 산업분야에 걸쳐 증가하고 있는 추세이며 해당 산업에서는 고전압 IGBT 부품의 최적화 연구가 절실한 상황이다. 고전압 IGBT개발을 위해서 wafer의 저항값 설정과 주요 단위공정의 최적화가 완성칩의 전기적특성에 큰 변수가 되며 높은 항복전압(breakdown voltage) 지지를 위한 공정 및 최적화 기술 확보가 중요하다. 식각공정은 포토리소그래피공정에서 마스크회로의 패턴을 wafer에 옮기고, 감광막의 하부에 있는 불필요한부분을 제거하는 공정이고, 이온주입공정은 반도체의 제조공정 중 열확산기술과 더불어 웨이퍼 기판내부로 불순물을 주입하여 일정한 전도성을 갖게 하는 과정이다. 본 연구에서는 IGBT의 3.3 kV 항복전압을 지지하는 ring 구조형성의 중요한 공정인 field ring 식각실험에서 건식식각과 습식식각을 조절해 4가지 조건으로 나누어 분석하고 항복전압확보를 위한 안정적인 바디junction 깊이형성을 최적화하기 위하여 TEG 설계를 기초로 field ring 이온주입공정을 4가지 조건으로 나누어 분석한 결과 식각공정에서 습식 식각 1스텝 방식이 공정 및 작업 효율성 측면에서 유리하며 링패턴 이온주입조건은 도핑농도 9.0E13과 에너지 120 keV로, p-이온주입 조건은 도핑농도 6.5E13과 에너지 80 keV로, p+ 이온주입 조건은 도핑농도 3.0E15와 에너지 160 keV로 최적화할 수 있었다.

Nd:YAG 레이저빔에 의한 PDP 방전셀의 구조 형성 (Formation of PDP cell structure using Nd:YAG laser beam)

  • 안민영;이경철;이홍규;이천
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2000년도 춘계학술대회 논문집 디스플레이 광소자 분야
    • /
    • pp.129-132
    • /
    • 2000
  • The PDP(Plasma Display Panel) barrier rib material on the glass substrate was patterned for fabrication of the PDP cell using Nd:YAG laser(1064 nm) which can generate the second(532 nm) and forth(266 nm) harmonic wave by HGM(harmonic generation modules). At a scan speed of 20 ${\mu}m/s$ with the second harmonic wave(532 nm) of Nd:YAG laser, the etching threshold laser fluence of the PDP material was 6.5 $mJ/cm^2$ and a sample(thickness = 180 ${\mu}m$) on the glass substrate was removed clearly at a laser fluence of 19.5 $mJ/cm^2$. In order to increase the throughput of the fabrication we divided a single-beam into multi-beams by using a metal mask between the sample and the focusing lens. As a result, 10 lines of PDP cell were formed by one laser beam scanning at a scan speed of 200 ${\mu}m/s$ and a laser fluence of 2.86 $J/cm^2$.

  • PDF

Deep cavity를 가진 Cap Wafer와 MEMS 소자의 Polymer Wafer bonding (Polymer Wafer bonding of MEMS device and Cap Wafer with deep cavity)

  • 이현기;박태준;윤상기;박남수;박형재;민종환;이영규
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2011년도 제42회 하계학술대회
    • /
    • pp.1702-1703
    • /
    • 2011
  • MEMS 소자의 Wafer level Package 관련하여 Deep cavity를 가진 Cap Wafer와 Polymer bonding 중 cavity 단차로 인한 Polymer Patterning 및 접합 불량의 어려움을 극복할 수 있는 새로운 공정 flow를 제안하였다. Cavity를 형성할 때 사용하는 Si deep etching Mask인 기존의 Photoresist를 접합용 감광성 Polymer로 대체하고, cavity 형성 후, 별도의 추가 공정 없이 이 Polymer를 이용해 Wafer bonding을 진행하였다. 이를 통해 cavity 단차에 따른 문제를 해결함과 동시에 공정이 단순하고 제작 비용이 저렴하며, 신뢰성 있는 Wafer level Package를 구현하였다.

  • PDF

Ar 이온빔 식각과 전자선리소그래피 방벙으로 제작한 고온초전도 조셉슨 접합 (Fabrication of High-T$_c$ Superconducting Josephson Junctions by Ar lon Milling and E-Beam Lithography)

  • 이문철;김인선;이정오;유경화;박용기;박종철
    • 한국초전도학회:학술대회논문집
    • /
    • 한국초전도학회 1999년도 High Temperature Superconductivity Vol.IX
    • /
    • pp.91-94
    • /
    • 1999
  • A new type of high-T$_c$ superconducting Josephson junctions has been prepared by Ar ion beam etching and electron beam lithography. YBa$_2Cu_3O_{7-x}$ (YBCO) films deposited on (001) SrTiO$_3$ single crystal substrate by pulsed laser deposition were patterned by Ar ion milling with photolithography. The narrow slit with a electroresist mask, about 1000 ${\AA}$ wide, was constructed over a 3 ${\sim}$ 5 ${\mu}$m bridge of a 1200-${\AA}$-thick YBCO film by electron beam lithography. The slit was then etched by the Ar ion beam to form a damaged 600-${\AA}$-thick YBCO. Thus prepared structure forms an S-N-S (YBCO - damaged YBCO - YBCO) type Josephson junctions. Those junctions exhibit RSI-like I-V characteristics at 77 K. The properties of the Josephson junctions such as I$_c$ R$_N$, and J$_c$ were characterized.

  • PDF

Ni-assisted Fabrication of GaN Based Surface Nano-textured Light Emitting Diodes for Improved Light Output Power

  • Mustary, Mumta Hena;Ryu, Beo Deul;Han, Min;Yang, Jong Han;Lysak, Volodymyr V.;Hong, Chang-Hee
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제15권4호
    • /
    • pp.454-461
    • /
    • 2015
  • Light enhancement of GaN based light emitting diodes (LEDs) have been investigated by texturing the top p-GaN surface. Nano-textured LEDs have been fabricated using self-assembled Ni nano mask during dry etching process. Experimental results were further compared with simulation data. Three types of LEDs were fabricated: Conventional (planar LED), Surface nano-porous (porous LED) and Surface nano-cluster (cluster LED). Compared to planar LED there were about 100% and 54% enhancement of light output power for porous and cluster LED respectively at an injection current of 20 mA. Moreover, simulation result showed consistency with experimental result. The increased probability of light scattering at the nano-textured GaN-air interface is the major reason for increasing the light extraction efficiency.

Dry Etching of Al2O3 Thin Films in O2/BCl3/Ar Inductively Coupled Plasma

  • Yang, Xeng;Woo, Jong-Chang;Um, Doo-Seung;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • 제11권5호
    • /
    • pp.202-205
    • /
    • 2010
  • In this study, the etch properties of $Al_2O_3$ thin films deposited by atomic layer deposition were investigated as a function of the $O_2$ content in $BCl_3$/Ar inductively coupled plasma. The experiments were performed by comparing the etch rates and selectivity of $Al_2O_3$ over the hard mask materials as functions of the input plasma parameters, such as the gas mixing ratio, DC-bias voltage, ratio-frequency (RF) power and process pressure. The highest obtained etch rate was 477 nm/min at an RF power of 700 W, $O_2$ to $BCl_3$/Ar gas ratio of 15%, DC-bias voltage of -100 V and process pressure of 15 mTorr. The deposition occurred on the surfaces when the amount of $O_2$ added to the $BCl_3$/Ar gas was too high at a low DC-bias voltage or high process pressure. X-ray photoelectron spectroscopy was used to investigate the chemical reactions on the etched surface.