• Title/Summary/Keyword: TSV (Through Silicon Via)

Search Result 97, Processing Time 0.032 seconds

Plating Technology of Through Silicon Via (TSV전극과 도금기술)

  • Kim, Yu-Sang;Jeong, Gwang-Mi
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2015.05a
    • /
    • pp.134-135
    • /
    • 2015
  • 실리콘 반도체 칩 가공기술의 미세화는 40년에 걸쳐 전자기기 진보에 큰 공헌을 할 수 있었다. 절반간격(Half Pitch)이라는 최소 패턴크기로 좁아지고 있다. 회로패턴을 평면적으로뿐만 아니라 집적도를 올리는 3차원 실장기술이 중요시 되었다. 종래칩 표면에만 존재했던 접속용 전극을 표면과 뒷면에 붙여 칩을 관통하는 미세실리콘 관통전극(TSV; Through Silicon Via)제조기술로써 TSV는 한계의 반도체기술을 극복하여 한층 더 크게 발전할 가능성을 비추고 있다.

  • PDF

A Study on the Cobalt Electrodeposition of High Aspect Ratio Through-Silicon-Via (TSV) with Single Additive (단일 첨가제를 이용한 고종횡비 TSV의 코발트 전해증착에 관한 연구)

  • Kim, Yu-Jeong;Lee, Jin-Hyeon;Park, Gi-Mun;Yu, Bong-Yeong
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2018.06a
    • /
    • pp.140-140
    • /
    • 2018
  • The 3D interconnect technologies have been appeared, as the density of Integrated Circuit (IC) devices increases. Through Silicon Via (TSV) process is an important technology in the 3D interconnect technologies. And the process is used to form a vertically electrical connection through silicon dies. This TSV process has some advantages that short length of interconnection, high interconnection density, low electrical resistance, and low power consumption. Because of these advantages, TSVs could improve the device performance higher. The fabrication process of TSV has several steps such as TSV etching, insulator deposition, seed layer deposition, metallization, planarization, and assembly. Among them, TSV metallization (i.e. TSV filling) was core process in the fabrication process of TSV because TSV metallization determines the performance and reliability of the TSV interconnect. TSVs were commonly filled with metals by using the simple electrochemical deposition method. However, since the aspect ratio of TSVs was become a higher, it was easy to occur voids and copper filling of TSVs became more difficult. Using some additives like an accelerator, suppressor and leveler for the void-free filling of TSVs, deposition rate of bottom could be fast whereas deposition of side walls could be inhibited. The suppressor was adsorbed surface of via easily because of its higher molecular weight than the accelerator. However, for high aspect ratio TSV fillers, the growth of the top of via can be accelerated because the suppressor is replaced by an accelerator. The substitution of the accelerator and the suppressor caused the side wall growth and defect generation. The suppressor was used as Single additive electrodeposition of TSV to overcome the constraints. At the electrochemical deposition of high aspect ratio of TSVs, the suppressor as single additive could effectively suppress the growth of the top surface and the void-free bottom-up filling became possible. Generally, copper was used to fill TSVs since its low resistivity could reduce the RC delay of the interconnection. However, because of the large Coefficients of Thermal Expansion (CTE) mismatch between silicon and copper, stress was induced to the silicon around the TSVs at the annealing process. The Keep Out Zone (KOZ), the stressed area in the silicon, could affect carrier mobility and could cause degradation of the device performance. Cobalt can be used as an alternative material because the CTE of cobalt was lower than that of copper. Therefore, using cobalt could reduce KOZ and improve device performance. In this study, high-aspect ratio TSVs were filled with cobalt using the electrochemical deposition. And the filling performance was enhanced by using the suppressor as single additive. Electrochemical analysis explains the effect of suppressor in the cobalt filling bath and the effect of filling behavior at condition such as current type was investigated.

  • PDF

Quantitative Evaluation Method for Etch Sidewall Profile of Through-Silicon Vias (TSVs)

  • Son, Seung-Nam;Hong, Sang Jeen
    • ETRI Journal
    • /
    • v.36 no.4
    • /
    • pp.617-624
    • /
    • 2014
  • Through-silicon via (TSV) technology provides much of the benefits seen in advanced packaging, such as three-dimensional integrated circuits and 3D packaging, with shorter interconnection paths for homo- and heterogeneous device integration. In TSV, a destructive cross-sectional analysis of an image from a scanning electron microscope is the most frequently used method for quality control purposes. We propose a quantitative evaluation method for TSV etch profiles whereby we consider sidewall angle, curvature profile, undercut, and scallop. A weighted sum of the four evaluated parameters, nominally total score (TS), is suggested for the numerical evaluation of an individual TSV profile. Uniformity, defined by the ratio of the standard deviation and average of the parameters that comprise TS, is suggested for the evaluation of wafer-to-wafer variation in volume manufacturing.

The Impedance Analysis of Multiple TSV-to-TSV (다중(multiple) TSV-to-TSV의 임피던스 해석)

  • Lee, Sihyun
    • Journal of the Institute of Electronics and Information Engineers
    • /
    • v.53 no.7
    • /
    • pp.131-137
    • /
    • 2016
  • In this paper, we analyze the impedance analysis of vertical interconnection through-silicon vias (TSV) that is being studied for the purpose of improving the degree of integration and an electric feature in 3D IC. Also, it is to improve the performance and the degree of integration of the three-dimensional integrated circuit system which can exceed the limits of conventional two-dimensional a IC. In the future, TSV technology in full-chip 3-dimensional integrated circuit system design is very important, and a study on the electrical characteristics of the TSV for high-density and high-bandwidth system design is very important. Therefore, we study analyze the impedance influence of the TSV in accordance with the distance and frequency in a multiple TSV-to-TSV for the purpose of designing a full-chip three-dimensional IC. The results of this study also are applicable to semiconductor process tools and designed for the manufacture of a full-chip 3D IC.

TSV Filling Technology using Cu Electrodeposition (Cu 전해도금을 이용한 TSV 충전 기술)

  • Kee, Se-Ho;Shin, Ji-Oh;Jung, Il-Ho;Kim, Won-Joong;Jung, Jae-Pil
    • Journal of Welding and Joining
    • /
    • v.32 no.3
    • /
    • pp.11-18
    • /
    • 2014
  • TSV(through silicon via) filling technology is making a hole in Si wafer and electrically connecting technique between front and back of Si die by filling with conductive metal. This technology allows that a three-dimensionally connected Si die can make without a large number of wire-bonding. These TSV technologies require various engineering skills such as forming a via hole, forming a functional thin film, filling a conductive metal, polishing a wafer, chip stacking and TSV reliability analysis. This paper addresses the TSV filling using Cu electrodeposition. The impact of plating conditions with additives and current density on electrodeposition will be considered. There are additives such as accelerator, inhibitor, leveler, etc. suitably controlling the amount of the additive is important. Also, in order to fill conductive material in whole TSV hole, current wave forms such as PR(pulse reverse), PPR(periodic pulse reverse) are used. This study about semiconductor packaging will be able to contribute to the commercialization of 3D TSV technology.

Thermal Analysis of 3D package using TSV Interposer (TSV 인터포저 기술을 이용한 3D 패키지의 방열 해석)

  • Suh, Il-Woong;Lee, Mi-Kyoung;Kim, Ju-Hyun;Choa, Sung-Hoon
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.21 no.2
    • /
    • pp.43-51
    • /
    • 2014
  • In 3-dimensional (3D) integrated package, thermal management is one of the critical issues due to the high heat flux generated by stacked multi-functional chips in miniature packages. In this study, we used numerical simulation method to analyze the thermal behaviors, and investigated the thermal issues of 3D package using TSV (through-silicon-via) technology for mobile application. The 3D integrated package consists of up to 8 TSV memory chips and one logic chip with a interposer which has regularly embedded TSVs. Thermal performances and characteristics of glass and silicon interposers were compared. Thermal characteristics of logic and memory chips are also investigated. The effects of numbers of the stacked chip, size of the interposer and TSV via on the thermal behavior of 3D package were investigated. Numerical analysis of the junction temperature, thermal resistance, and heat flux for 3D TSV package was performed under normal operating and high performance operation conditions, respectively. Based on the simulation results, we proposed an effective integration scheme of the memory and logic chips to minimize the temperature rise of the package. The results will be useful of design optimization and provide a thermal design guideline for reliable and high performance 3D TSV package.

Use of Hard Mask for Finer (<10 μm) Through Silicon Vias (TSVs) Etching

  • Choi, Somang;Hong, Sang Jeen
    • Transactions on Electrical and Electronic Materials
    • /
    • v.16 no.6
    • /
    • pp.312-316
    • /
    • 2015
  • Through silicon via (TSV) technology holds the promise of chip-to-chip or chip-to-package interconnections for higher performance with reduced signal delay and power consumption. It includes high aspect ratio silicon etching, insulation liner deposition, and seamless metal filling. The desired etch profile should be straightforward, but high aspect ratio silicon etching is still a challenge. In this paper, we investigate the use of etch hard mask for finer TSVs etching to have clear definition of etched via pattern. Conventionally employed photoresist methods were initially evaluated as reference processes, and oxide and metal hard mask were investigated. We admit that pure metal mask is rarely employed in industry, but the etch result of metal mask support why hard mask are more realistic for finer TSV etching than conventional photoresist and oxide mask.

반도체 소자의 3차원 집적에 적용되는 through-Silicon-via (TSV) 배선의 구조형성

  • Im, Yeong-Dae;Lee, Seung-Hwan;Yu, Won-Jong;Jeong, O-Jin;Kim, Sang-Cheol;Lee, Han-Chun
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2008.11a
    • /
    • pp.21-22
    • /
    • 2008
  • $SF_6/O_2$ 플라즈마 에칭을 통한 반도체 칩의 3차원 집적에 응용되는 through-silicon-via (TSV) 구조형성 연구를 수행하였다. Si via 형상은 $SF_6$, $O_2$의 가스 비율과 에칭이 되는 Silicon 기판의 온도에 의존함을 알수 있었다. 또한 Si via 형상에서 최소의 언더컷 (undercut) 과 측벽에칭 (local bowing) 은 black Si이 나타나는 공정조건에서 나타남을 확인하였다. 더 나아가 저온을 이용한 via 형성시 via 측벽에 형성되는 passivation layer와 mask의 성질이 저온으로 인해 high-aspect-ratio를 갖는 via를 형성할 수 있음을 알 수 있었다.

  • PDF

3D Integration using Bumpless Wafer-on-Wafer (WOW) Technology (Bumpless 접속 기술을 이용한 웨이퍼 레벨 3차원 적층 기술)

  • Kim, Young Suk
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.19 no.4
    • /
    • pp.71-78
    • /
    • 2012
  • This paper describes trends in conventional scaling compared with advanced technologies such as 3D integration (3DI) and bumpless through-silicon via (TSV) processes, as well as the characteristics of CMOS (Complementary Metal Oxide Semiconductor) Logic device after thinning the wafers to less than $10{\mu}m$. Each module process including thinning, stacking, and TSV, is optimized for 3D Wafer-on-Wafer (WOW) application. Optimization results are discussed with valuable data in detail. Since vertical wiring of bumpless TSV can be connected directly to the upper and lower substrates by self-alignment, bumps are not necessary when TSV interconnects are used.

The Effect of Functional Group of Levelers on Through-Silicon-Via filling Performance in Copper Electroplating (구리 전해도금을 이용한 실리콘 관통전극 충전 성능에 대한 평탄제 작용기의 영향)

  • Jin, Sang-Hun;Kim, Seong-Min;Jo, Yu-Geun;Lee, Un-Yeong;Lee, Min-Hyeong
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2018.06a
    • /
    • pp.80-80
    • /
    • 2018
  • 실리콘 관통전극 (Through Silicon Via, TSV)는 메모리 칩을 적층하여 고밀도의 집적회로를 구현하는 기술로, 기존의 와이어 본딩 (Wire bonding) 기술보다 낮은 소비전력과 빠른 속도가 특징인 3차원 집적기술 중 하나이다. TSV는 일반적으로 도금 공정을 통하여 충전되는데, 고종횡비의 TSV에 결함 없이 구리를 충전하기 위해서 3종의 유기첨가제(억제제, 가속제, 평탄제)가 도금액에 첨가되어야 한다. 이러한 첨가제 중 결함 발생유무에 가장 큰 영향을 주는 첨가제는 평탄제이기 때문에, 본 연구에서는 이미다졸(imidazole) 계열, 이민(imine) 계열, 디아조늄(diazonium) 계열 및 피롤리돈(pyrrolidone) 계열과 같은 평탄제(leveler)의 작용기에 따라 TSV 충전 성능을 조사하였다. TSV 충전 시 관능기의 거동을 규명하기 위해 QCM (quartz crystal microbalance) 및 EQCM (electrochemical QCM)을 사용하여 흡착 정도를 측정하였다. 실험 결과, 디아조늄 계열의 평탄제는 TSV를 결함 없이 충전하였지만 다른 작용기를 갖는 평탄제는 TSV 내 결함이 발생하였다. QCM 분석에서 디아조늄 계열의 평탄제는 낮은 흡착률을 보이지만 EQCM 분석에서는 높은 흡착률을 나타내었다. 즉, 디아조늄 계열의 평탄제는 전기 도금 동안 전류밀도가 집중되는 TSV의 상부 모서리에서 국부적인 흡착을 선호하며 이로 인하여 무결함 충전이 달성된다고 추론할 수 있다.

  • PDF