DOI QR코드

DOI QR Code

TSV 인터포저 기술을 이용한 3D 패키지의 방열 해석

Thermal Analysis of 3D package using TSV Interposer

  • 서일웅 (서울과학기술대학교 NID 융합기술대학원) ;
  • 이미경 (서울과학기술대학교 NID 융합기술대학원) ;
  • 김주현 (국민대학교 기계설계대학원) ;
  • 좌성훈 (서울과학기술대학교 NID 융합기술대학원)
  • Suh, Il-Woong (Graduate School of NID Fusion Technology, Seoul National University of Science and Technology) ;
  • Lee, Mi-Kyoung (Graduate School of NID Fusion Technology, Seoul National University of Science and Technology) ;
  • Kim, Ju-Hyun (Graduate School of Mechanical and Design, Kookmin University) ;
  • Choa, Sung-Hoon (Graduate School of NID Fusion Technology, Seoul National University of Science and Technology)
  • 투고 : 2014.06.02
  • 심사 : 2014.06.19
  • 발행 : 2014.06.30

초록

3차원 적층 패키지(3D integrated package) 에서 초소형 패키지 내에 적층되어 있는 칩들의 발열로 인한 열 신뢰성 문제는 3차원 적층 패키지의 핵심 이슈가 되고 있다. 본 연구에서는 TSV(through-silicon-via) 기술을 이용한 3차원 적층 패키지의 열 특성을 분석하기 위하여 수치해석을 이용한 방열 해석을 수행하였다. 특히 모바일 기기에 적용하기 위한 3D TSV 패키지의 열 특성에 대해서 연구하였다. 본 연구에서 사용된 3차원 패키지는 최대 8 개의 메모리 칩과 한 개의 로직 칩으로 적층되어 있으며, 구리 TSV 비아가 내장된 인터포저(interposer)를 사용하여 기판과 연결되어 있다. 실리콘 및 유리 소재의 인터포저의 열 특성을 각각 비교 분석하였다. 또한 본 연구에서는 TSV 인터포저를 사용한 3D 패키지에 대해서 메모리 칩과 로직 칩을 사용하여 적층한 경우에 대해서 방열 특성을 수치 해석적으로 연구하였다. 적층된 칩의 개수, 인터포저의 크기 및 TSV의 크기가 방열에 미치는 영향에 대해서도 분석하였다. 이러한 결과를 바탕으로 메모리 칩과 로직 칩의 위치 및 배열 형태에 따른 방열의 효과를 분석하였으며, 열을 최소화하기 위한 메모리 칩과 로직 칩의 최적의 적층 방법을 제시하였다. 궁극적으로 3D TSV 패키지 기술을 모바일 기기에 적용하였을 때의 열 특성 및 이슈를 분석하였다. 본 연구 결과는 방열을 고려한 3D TSV 패키지의 최적 설계에 활용될 것으로 판단되며, 이를 통하여 패키지의 방열 설계 가이드라인을 제시하고자 하였다.

In 3-dimensional (3D) integrated package, thermal management is one of the critical issues due to the high heat flux generated by stacked multi-functional chips in miniature packages. In this study, we used numerical simulation method to analyze the thermal behaviors, and investigated the thermal issues of 3D package using TSV (through-silicon-via) technology for mobile application. The 3D integrated package consists of up to 8 TSV memory chips and one logic chip with a interposer which has regularly embedded TSVs. Thermal performances and characteristics of glass and silicon interposers were compared. Thermal characteristics of logic and memory chips are also investigated. The effects of numbers of the stacked chip, size of the interposer and TSV via on the thermal behavior of 3D package were investigated. Numerical analysis of the junction temperature, thermal resistance, and heat flux for 3D TSV package was performed under normal operating and high performance operation conditions, respectively. Based on the simulation results, we proposed an effective integration scheme of the memory and logic chips to minimize the temperature rise of the package. The results will be useful of design optimization and provide a thermal design guideline for reliable and high performance 3D TSV package.

키워드

참고문헌

  1. K. N. Tu, "Reliability Challenges in 3D IC Packaging Technology", Microelectron. Reliab., 51, 517 (2011). https://doi.org/10.1016/j.microrel.2010.09.031
  2. M. S. Park, S. D. Kim and E. K. Kim, "TSV Liquid Cooling System for 3D Integrated Circuits", J. Microelectron. Packag. Soc., 20(3), 1 (2013).
  3. E. Kim and J. Sung, "Yield Challenges in Wafer Stacking Technology", Microelectron. Reliab., 48, 1102 (2008). https://doi.org/10.1016/j.microrel.2008.03.010
  4. J. H. Lau, "Evolution, Challenge, and Outlook of TSV, 3D IC Integration and 3D Silicon Integration", Proc. International Symposium on Advanced Packaging Materials (APM), Xiamen, 462, IEEE (2011).
  5. X. Q. Xing, Y. J. Lee, T. Y. Tee, X. Zhang, S. Gao and W. S. Kwon, "Thermal Modeling and Characterization of Package with Through-Silicon-Vias (TSV) Interposer", Proc. 13th Electronics Packaging Technology Conference (EPTC), Singapore, 548, IEEE (2011).
  6. H. Wei, J. B. Carter, E. Cheng, K. Skadron and M. R. Stan, "Temperature Aware Architecture: Lessons and Opportunities", IEEE Micro, 31(3), 82 (2011). https://doi.org/10.1109/MM.2011.60
  7. A. J. McNamara, Y. Joshi and Z. M. Zhang, "Characterization of Nanostructured Thermal Interface Materials-A Review", Int. J. Therm. Sci., 62, 2 (2012). https://doi.org/10.1016/j.ijthermalsci.2011.10.014
  8. S. N. Paisner, "Nanotechnology and Mathematical Methods for High-Performance Thermal Interface Materials", Global SMT & Packaging, 5(8), 36 (2008).
  9. J. Vaes, W. Dehaene, E. Beyne and Y. Travaly, "Integration Challenges of Copper Through Silicon Via (TSV) Metallization for 3D-Stacked IC Integration", Microelectron. Eng., 88(50), 745 (2011). https://doi.org/10.1016/j.mee.2010.06.026
  10. R. Hon, S. W. R. Lee, S. X. Zhang and C. K. Wong, "Multi- Stack Flip Chip 3D Packaging with Copper Plated Through- Silicon Vertical Interconnection", Proc. 7th EPTC, Singapore, 384, IEEE (2005).
  11. J. H. Lau and T. G. Yue, "Thermal Management of 3D IC Integration with TSV (Through Silicon Via)", Proc. 59th Electronic Components and Technology Conference (ECTC), San Diego, CA, 635, IEEE Components, Packaging and Manufacturing Technology Society (CPMT) (2009).
  12. Y. Y. G. Hoe, T. G. Yue, P. Damaruganath, C. T. Chong and J. H. Lau "Effect of TSV Interposer on the Thermal Performance of FCBGA Package", Proc. 11th EPTC, Singapore, 778, IEEE (2009).
  13. J. H. Lau and T. G. Yue "Effects of TSVs (Through-Silicon Vias) on Thermal Performances of 3D IC Integration Systemin- Package (SiP)", Microelectron. Reliab., 52(11), 2660 (2012). https://doi.org/10.1016/j.microrel.2012.04.002
  14. S. B. Cho, Y. Joshi, V. Sundaram, Y. Sato and R. Tummala "Comparison of Thermal Performance Between Glass and Silicon Interposers", Proc. 63rd ECTC, Las Vegas, 1480, IEEE CPMT (2013).
  15. H. C. Chien, J. H. Lau, Y. L. Chao, M. J. Dai, R. M. Tain, L. Li, P. Su, J. Xue and M. Brillhart, "Thermal Evaluation and Analyses of 3D IC Integration SiP with TSV for Network System Application", Proc. 62nd ECTC, San Diego, 1866, IEEE CPMT (2012)
  16. H. Xu, V. F. Pavlidis, and G. D. Micheli, "Analytical Heat Transfer Model for Thermal Through-Silicon Vias", Proc. Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, 1, IEEE (2011).
  17. S. G. Singh and C. S. Tan, "Impact of Thermal Through Silicon Via (TTSV) on Temperature Profile of Multi-layer 3-D Device Stack", Proc. IEEE International Conference on 3D System Integration (3DIC), San Francisco, 1, IEEE (2009).
  18. X. zhang, T. C. Chai, J. H. Lau, C. S. Sevanayagam, K. Biswas, S. Liu, D. Pinjala, G. Y. Tang, Y. Y. Ong, S. R. Vempati, E. Wai, H. Y. Li, E. B. Liao, N. Ranganathan V. Kripesh, J. Sun, J. Doricko and C. J. Vath, "Development of Through Silicon Via (TSV) Interposer Technology for Large Die (21${\times}$21 mm) Fine-Pitch Cu/Low-k FCBGA Package", Proc. 59th ECTC, San Diego, 305, IEEE CPMT (2009).