• 제목/요약/키워드: Silicon etching

검색결과 740건 처리시간 0.032초

식각시간 및 식각전류에 따른 다공성 실리콘의 발광 특성에 대한 조사 (Photoluminescence of Porous Silicon According to Various Etching Times and Various Applied Current Densities)

  • 한정민
    • 통합자연과학논문집
    • /
    • 제3권3호
    • /
    • pp.148-152
    • /
    • 2010
  • Photoluminescence properties and surface morphologies of porous silicon etched with various applied current densities at fixed etching times. FE-SEM image of porous silicon surface indicated that the porous silicon prepared at currents below 200 mA exhibited very bright red photoluminescence properties. As the applied current densities increased, the photoluminescence efficiencies of porous silicon prepared at applied current densities above 300 mA decreased, and displayed the cracked surface on porous silicon. This cracked surface start to collapsed to give cracked domains.

Study on Thickness of Porous Silicon Layer According to the Various Anodization Times

  • 장승현
    • 통합자연과학논문집
    • /
    • 제3권4호
    • /
    • pp.206-209
    • /
    • 2010
  • As the etching time is varied, the change of thickness of the porous silicon layers was successfully investigated. The thickness of the PSi layer as a function of anodization time for a p-type substrate that is etched at a constant current density of 50 $mA/cm^2$ in a 35% hydrofluoric acid solution shows a linear relationship between the etching time and the thickness of the PSi layer.

Potential Dependence of Electrochemical Etching Reaction of Si(111) Surface in a Fluoride Solution Studied by Electrochemical and Scanning Tunneling Microscopic Techniques

  • Bae, Sang-Eun;Youn, Young-Sang;Lee, Chi-Woo
    • Journal of Electrochemical Science and Technology
    • /
    • 제11권4호
    • /
    • pp.330-335
    • /
    • 2020
  • Silicon surface nanostructures, which can be easily prepared by electrochemical etching, have attracted considerable attention because of its useful physical properties that facilitate application in diverse fields. In this work, electrochemical and electrochemical-scanning tunneling microscopic (EC-STM) techniques were employed to study the evolution of surface morphology during the electrochemical etching of Si(111)-H in a fluoride solution. The results exhibited that silicon oxide of the Si(111) surface was entirely stripped and then the surface became hydrogen terminated, atomically flat, and anisotropic in the fluoride solution during chemical etching. At the potential more negative than the flat band one, the surface had a tendency to be eroded very slowly, whereas the steps of the terrace were not only etched quickly but the triangular pits also deepened on anodic potentials. These results provided information on the conditions required for the preparation of porous nanostructures on the Si(111) surface, which may be applicable for sensor (or device) preparation (Nanotechnology and Functional Materials for Engineers, Elsevier 2017, pp. 67-91).

MEMS-IR SENSOR용 식각-접합-박막증착 기반공정 (Etching-Bonding-Thin film deposition Process for MEMS-IR SENSOR Application)

  • 박윤권;주병권;박흥우;박정호;염상섭;서상희;오명환;김철주
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1998년도 하계학술대회 논문집 G
    • /
    • pp.2501-2503
    • /
    • 1998
  • In this paper, the silicon-nitride membrane structure for IR sensor was fabricated through the etching and the direct bonding. The PTO layer as a IR detection layer was deposited on the membrane and its characteristics were measured. The attack of PTO layer during the etching of silicon wafer as well as the thermal isolation of the IR detection layer can be solved through the method of bonding/etching of silicon wafer. Because the PTO layer of c-axial orientation raised thermal polarization without polling, the more integration capability can be achieved. The surface roughness of the membrane was measured by AFM, the micro voids and the non-contacted area were inspected by IR detector, and the bonding interface was observed by SEM. The polarization characteristics and the dielectric characteristics of the PTO layer were measured, too.

  • PDF

Structuring of Bulk Silicon Particles for Lithium-Ion Battery Applications

  • Bang, Byoung-Man;Kim, Hyun-Jung;Park, Soo-Jin
    • Journal of Electrochemical Science and Technology
    • /
    • 제2권3호
    • /
    • pp.157-162
    • /
    • 2011
  • We report a simple route for synthesizing multi-dimensional structured silicon anode materials from commercially available bulk silicon powders via metal-assisted chemical etching process. In the first step, silver catalyst was deposited onto the surface of bulk silicon via a galvanic displacement reaction. Next, the silver-decorated silicon particles were chemically etched in a mixture of hydrofluoric acid and hydrogen peroxide to make multi-dimensional silicon consisting of one-dimensional silicon nanowires and micro-scale silicon cores. As-synthesized silicon particles were coated with a carbon via thermal decomposition of acetylene gas. The carbon-coated multi-dimensional silicon anodes exhibited excellent electrochemical properties, including a high specific capacity (1800 mAh/g), a stable cycling retention (cycling retention of 89% after 20 cycles), and a high rate capability (71% at 3 C rate, compared to 0.1 C rate). This process is a simple and mass-productive (yield of 40-50%), thus opens up an effective route to make a high-performance silicon anode materials for lithiumion batteries.

대기압 플라즈마를 이용한 결정질 태양전지 표면 식각 공정 (Dry Etching Using Atmospheric Plasma for Crystalline Silicon Solar Cells)

  • 황상혁;권희태;김우재;최진우;신기원;양창실;권기청
    • 한국재료학회지
    • /
    • 제27권4호
    • /
    • pp.211-215
    • /
    • 2017
  • Reactive Ion Etching (RIE) and wet etching are employed in existing texturing processes to fabricate solar cells. Laser etching is used for particular purposes such as selective etching for grooves. However, such processes require a higher level of cost and longer processing time and those factors affect the unit cost of each process of fabricating solar cells. As a way to reduce the unit cost of this process of making solar cells, an atmospheric plasma source will be employed in this study for the texturing of crystalline silicon wafers. In this study, we produced the atmospheric plasma source and examined its basic properties. Then, using the prepared atmospheric plasma source, we performed the texturing process of crystalline silicon wafers. The results obtained from texturing processes employing the atmospheric plasma source and employing RIE were examined and compared with each other. The average reflectance of the specimens obtained from the atmospheric plasma texturing process was 7.88 %, while that of specimens obtained from the texturing process employing RIE was 8.04 %. Surface morphologies of textured wafers were examined and measured through Scanning Electron Microscopy (SEM) and similar shapes of reactive ion etched wafers were found. The Power Conversion Efficiencies (PCE) of the solar cells manufactured through each process were 16.97 % (atmospheric plasma texturing) and 16.29 % (RIE texturing).

ICP-RIE를 이용한 저압용 실리콘 압력센서 제작 (Fabrication of a silicon pressure sensor for measuring low pressure using ICP-RIE)

  • 이영태
    • 센서학회지
    • /
    • 제16권2호
    • /
    • pp.126-131
    • /
    • 2007
  • In this paper, we fabricated piezoresistive pressure sensor with dry etching technology which used ICP-RIE (inductively coupled plasma reactive ion etching) and etching delay technology which used SOI (silicon-on-insulator). Structure of the fabricated pressure sensor shows a square diaphragm connected to a frame which was vertically fabricated by dry etching process and a single-element four-terminal gauge arranged at diaphragm edge. Sensitivity of the fabricated sensor was about 3.5 mV/V kPa at 1 kPa full-scale. Measurable resolution of the sensor was not exceeding 20 Pa. The nonlinearity of the fabricated pressure sensor was less than 0.5 %F.S.O. at 1 kPa full-scale.

Pore Distribution of Porous Silicon layer by Anodization Process

  • Lee, Ki-Yong;Chung, Won-Yong;Kim, Do-Hyun
    • 한국결정성장학회:학술대회논문집
    • /
    • 한국결정성장학회 1996년도 The 9th KACG Technical Annual Meeting and the 3rd Korea-Japan EMGS (Electronic Materials Growth Symposium)
    • /
    • pp.494-496
    • /
    • 1996
  • The purpose of this study is to investigate the effect of process conditions on pore distribution in porous silicon layer prepared by electrochemical reaction. Porous silicon layers formed on p-type silicon wafer show the network structure of fine porse whose diameters are less than 100${\AA}$. In n-type porous silicon, selective growth was found on the pore surface by wet etching process after PR patterning. And numerical method showed high current density on the pore tip. With this result we confirmed that pore formation has two steps. First step is the initial attack on the surface and second step is the directional growth on the pore tip.

  • PDF

Radio Frequency Multi-Hollow Cathode 플라즈마 시스템을 이용한 대면적 블랙 실리콘 태양전지에 관한 연구 (A Study on Large Area Black Silicon Solar Cell Using Radio-Frequency Multi-Hollow cathode Plasma System)

  • 유진수;임동건;양계준;이준신
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제52권11호
    • /
    • pp.496-500
    • /
    • 2003
  • A low-cost, large area, random, maskless texturing scheme independent of crystal orientation is expected to significantly impact terrestrial photovoltaic technology. We investigated silicon surface microstructures formed by reactive ion etching (RIE) in Multi-Hollow cathode system. Desirable texturing effect has been achieved when radio-frequency (rf) power of about 20 Watt per one hollow cathode glow is applied for our RF Multi-Hollow cathode system. The black silicon etched surface shows almost zero reflectance in the visible region as well as in near IR region. The etched silicon surface is covered by columnar microstructures with diameters from 50 to 100 nm and depth of about 500 nm. We have successfully achieved 11.7% efficiency of mono-crystalline silicon solar cell and 10.2% multi-crystalline silicon solar cell.

다결정 실리콘 태양전지의 광학적 손실 감소를 위한 표면 텍스쳐링에 관한 연구 (Investigation of surface texturing to reduce optical losses for multicrystalline silicon solar cells)

  • 김지선;김범호;이수홍
    • 한국신재생에너지학회:학술대회논문집
    • /
    • 한국신재생에너지학회 2007년도 추계학술대회 논문집
    • /
    • pp.264-267
    • /
    • 2007
  • It is important to reduce optical losses from front surface reflection to improve the efficiency of crystalline silicon solar cells. Surface texturing by isotropic etching with acid solution based on HF and $HNO_3$ is one of the promising methods that can reduce surface reflectance. Anisotropic texturing with alkali solution is not suitable for multicrystalline silicon wafers because of its various grain orientations. In this paper, we textured multicrystalline silicon wafers by simple wet chemical etching using acid solution to reduce front surface reflectance. After that, surface morphology of textured wafer was observed by Scanning Electron Microscope(SEM) and Atomic Force Microscope(AFM), surface reflectance was measured in wavelength from 400nm to 1000nm. We obtained 29.29% surface reflectance by isotropic texturing with acid solution in wavelength from 400nm to 1000nm for fabrication of multicrystalline silicon solar cells.

  • PDF