• Title/Summary/Keyword: Shallow trench Isolation

Search Result 92, Processing Time 0.026 seconds

Characteristics of Transistors and Isolation as Trench Depth (트렌치 깊이에 따른 트랜지스터와 소자분리 특성)

  • 박상원;김선순;최준기;이상희;김용해;장성근;한대희;김형덕
    • Proceedings of the IEEK Conference
    • /
    • 1999.06a
    • /
    • pp.911-913
    • /
    • 1999
  • Shallow Trench Isolation (STI) has become the most promising isolation scheme for ULSI applications. The stress of STI structure is one of several factors to degrade characteristics of a device. The stress contours or STI structure vary with the trench depth. Isolation characteristics of STI was analyzed as the depth of trench varied. And transistor characteristics was compared. Isolation punch-through voltage for n$^{+}$ to pwell and p$^{+}$ to nwell increased as trench depth increased. n$^{+}$ to pwell leakage current had nothing to do with trench depth but n$^{+}$ to pwell leakage current decreased as trench depth increased. In the case of transistor characteristics, short channel effect was independent on trench depth and inverse narrow width effect was greater for deeper trenches. Therefore in order to achieve stable device, it is important to minimize stress by optimizing trench depth.

  • PDF

A study on the silicon shallow trench etch process for STI using inductively coupled $Cl_2$ and TEX>$HBr/Cl_2$ plasmas (유도결합 $Cl_2$$HBr/Cl_2$ 플라즈마를 이용한 STI용 실리콘 Shallow trench 식각공정에 관한 연구)

  • 이주훈;이영준;김현수;이주욱;이정용;염근영
    • Journal of the Korean Vacuum Society
    • /
    • v.6 no.3
    • /
    • pp.267-274
    • /
    • 1997
  • Silicon shallow trenches applied to the STI (Shallow Trench Isolation) of integrated circuits were etched using inductively coupled $Cl_2$ and HBr/$Cl_2$ plasmas and the effects of process parameters on the etch profiles of silicon trenches and the physical damages on the trench sidewall and bottom were investigated. The increase of inductive power and bias voltage in $Cl_2$ and HBr/$Cl_2$ plasmas increased polysilicon etch rates in general, but reduced the etch selectivities over nitride. In case of $Cl_2$ plasma, low inductive power and high bias voltage showed an anisotropic trench etch profile, and also the addition of oxygen or nitrogen to chlorine increased the etch anisotropy. The use of pure HBr showed a positively angled etch profile and the addition of $Cl_2$ to HBr improved the etch profile more anisotropically. HRTEM study showed physical defects formed on the silicon trench surfaces etched in $Cl_2/N_2$ or HBr/ $Cl_2$ plasmas.

  • PDF

Simulations of Proposed Shallow Trench Isolation using TCAD Tool (TCAD 툴을 이용한 제안된 얕은 트랜치 격리의 시뮬레이션)

  • Lee, YongJae
    • Journal of the Korea Society for Simulation
    • /
    • v.22 no.4
    • /
    • pp.93-98
    • /
    • 2013
  • In this paper, the proposed shallow trench isolation structures for high threshold voltage for very large scale and ultra high voltage integrated circuits MOSFET were simulated. Physically based models of hot-carrier stress and dielectric enhanced field of thermal damage have been incorporated into a TCAD tool with the aim of investigating the electrical degradation in integrated devices over an extended range of stress biases and ambient temperatures. As a simulation results, shallow trench structure were intended to be electric functions of passive, as device dimensions shrink, the electrical characteristics influence of proposed STI structures on the transistor applications become stronger the potential difference electric field and saturation threshold voltage.

Signal Analysis of Motor Current for End Point Detection in the Chemical Mechanical Polishing of Shallow Trench Isolation with Reverse Moat Structure

  • Park, Chang-Jun;Kim, Sang-Yong;Seo, Yong-Jin
    • KIEE International Transactions on Electrophysics and Applications
    • /
    • v.2C no.5
    • /
    • pp.262-267
    • /
    • 2002
  • In this paper, we first studied the factors affecting the motor current (MC) signal, which was strongly affected by the systematic hardware noises depending on polishing such as pad conditioning and arm oscillation of platen and recipe, head motor. Next, we studied the end point detection (EPD) for the chemical mechanical polishing (CMP) process of shallow trench isolation (STI) with reverse moat structure. The MC signal showed a high amplitude peak in the fore part caused by the reverse meal. pattern. We also found that the EP could not be detected properly and reproducibly due to the pad conditioning effect, especially when conventional low selectivity slurry was used. Even when there was no pad conditioning effect, the EPD method could not be applied, since the measured end points were always the same due to the characteristics of the reverse moat structure with an open nitride layer.

Determination of End Point for Direct Chemical Mechanical Polishing of Shallow Trench Isolation Structure

  • Seo, Yong-Jin;Lee, Kyoung-Jin;Kim, Sang-Yong;Lee, Woo-Sun
    • KIEE International Transactions on Electrophysics and Applications
    • /
    • v.3C no.1
    • /
    • pp.28-32
    • /
    • 2003
  • In this paper, we have studied the in-situ end point detection (EPD) for direct chemical mechanical polishing (CMP) of shallow trench isolation (STI) structures without the reverse moat etch process. In this case, we applied a high selectivity $1n (HSS) that improves the silicon oxide removal rate and maximizes oxide to nitride selectivity Quite reproducible EPD results were obtained, and the wafer-to-wafer thickness variation was significantly reduced compared with the conventional predetermined polishing time method without EPD. Therefore, it is possible to achieve a global planarization without the complicated reverse moat etch process. As a result, the STI-CMP process can be simplified and improved using the new EPD method.

A Study for the Improvement of Torn Oxide Defects in Shallow Trench Isolation-Chemical Mechanical Polishing (STI-CMP) Process (STI--CMP 공정에서 Torn oxide 결함 해결에 관한 연구)

  • 서용진;정헌상;김상용;이우선;이강현;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.1
    • /
    • pp.1-5
    • /
    • 2001
  • STI(shallow trench isolation)-CMP(chemical mechanical polishing) process have been substituted for LOCOS(local oxidation of silicon) process to obtain global planarization in the below sub-0.5㎛ technology. However TI-CMP process, especially TI-CMP with RIE(reactive ion etching) etch back process, has some kinds of defect like nitride residue, torn oxide defect, etc. In this paper, we studied how to reduced torn oxide defects after STI-CMP with RIE etch back processed. Although torn oxide defects which can occur on trench area is not deep and not severe, torn oxide defects on moat area is not deep and not severe, torn oxide defects on moat area is sometimes very deep and makes the yield loss. Thus, we did test on pattern wafers which go through trench process, APECVD process, and RIE etch back process by using an IPEC 472 polisher, IC1000/SUVA4 PAD and KOH base slurry to reduce the number of torn defects and to study what is the origin of torn oxide defects.

  • PDF

Hump Characteristics of 64M DRAM STI(Shallow Trench Isolated) NMOSFETs Due to Defect (64M DRAM의 Defect 관련 STI(Shallow Trench Isolated) NMOSFET Hump 특성)

  • Lee, Hyung-J.
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.05b
    • /
    • pp.291-293
    • /
    • 2000
  • In 64M DRAM, sub-1/4m NMOSFETs with STI(Shallow Trench Isolation), anomalous hump phenomenon of subthreshold region, due to capped p-TEOS/SiN interlayer induced defect, is reported. The hump effect was significantly observed as channel length is reduced, which is completely different from previous reports. Channel Boron dopant redistribution due to the defect should be considered to improve hump characteristics besides consideration of STI comer shape and recess.

  • PDF

Effect of a Multi-Step Gap-Filling Process to Improve Adhesion between Low-K Films and Metal Patterns

  • Lee, Woojin;Kim, Tae Hyung;Choa, Yong-Ho
    • Korean Journal of Materials Research
    • /
    • v.26 no.8
    • /
    • pp.427-429
    • /
    • 2016
  • A multi-step deposition process for the gap-filling of submicrometer trenches using dimethyldimethoxysilane (DMDMOS), $(CH_3)_2Si(OCH_3)_2$, and $C_xH_yO_z$ by plasma enhanced chemical vapor deposition (PECVD) is presented. The multi-step process consisted of pre-treatment, deposition, and post-treatment in each deposition step. We obtained low-k films with superior gap-filling properties on the trench patterns without voids or delamination. The newly developed technique for the gap-filling of submicrometer features will have a great impact on inter metal dielectric (IMD) and shallow trench isolation (STI) processes for the next generation of microelectronic devices. Moreover, this bottom up gap-fill mode is expected to be universally for other chemical vapor deposition systems.

Effects of Post Annealing and Oxidation Processes on the Shallow Trench Etch Process (Shallow Trench 식각공정시 발생하는 결함의 후속열처리 및 산화곤정에 따른 거동에 관한 연구)

  • 이영준;황원순;김현수;이주옥;이정용;염근영
    • Journal of the Korean institute of surface engineering
    • /
    • v.31 no.5
    • /
    • pp.237-244
    • /
    • 1998
  • In this stydy, submicron shallow trenches applied to STI(shallow tench isolation) were etched using inductively coupled $CI_2$/HBr and $CI_2/N_2$plasmas and the physical and electrical defects remaining on the etched silicon trench surfaces and the effects of various annealing and oxidation on the removal of the defects were studied. Using high resolution electron microscopy(HRTEM), Physical defects were investigated on the silicon trench surfaces etched in both 90%$CI_2$/ 10%$N_2$ and 50%$CI_2$/50%HBr. Among the areas in the tench such as trench bottom, bottom edge, and sidewall, the most dense defects were found near the trench bottom edge, and the least dense defects were found near the trench bottom edge, and least dense defects compared to that etched with ment as well as hydrogen permeation. Thermal oxidation of 200$\AA$ atthe temperature up to $1100^{\circ}C$apprars not to remove the defects formed on the etched silicon trenches for both of the etch conditions. To remove the physicall defects, an annealing treatment at the temperature high than $1000^{\circ}C$ in N for30minutes was required. Electrical defects measured using a capacitance-voltage technique showed the reduction of the defects with increasing annealing temperature, and the trends were similar to the results on the physical defects obtained using transmission electron microscopy.

  • PDF

Effect of pattern spacing and slurry types on the surface characteristics in 571-CMP process (STI-CMP공정에서 표면특성에 미치는 패턴구조 및 슬러리 종류의 효과)

  • Lee, Hoon;Lim, Dae-Soon;Lee, Sang-Ick
    • Proceedings of the Korean Society of Tribologists and Lubrication Engineers Conference
    • /
    • 2002.05a
    • /
    • pp.272-278
    • /
    • 2002
  • Recently, STI(Shallow Trench Isolation) process has attracted attention for high density of semiconductor device as a essential isolation technology. In this paper, the effect of pattern density, trench width and selectivity of slurry on dishing in STI CMP process was investigated by using specially designed isolation pattern. As trench width increased, the dishing tends to increase. At $20{\mu}m$ pattern size, the dishing was decreased with increasing pattern density Low selectivity slurry shows less dishing at over $160{\mu}m$ trench width, whereas high selectivity slurry shows less dishing at below $160{\mu}m$ trench width.

  • PDF