• Title/Summary/Keyword: Selective epitaxial growth(SEG)

Search Result 15, Processing Time 0.034 seconds

Optimization of Selective Epitaxial Growth of Silicon in LPCVD

  • Cheong, Woo-Seok
    • ETRI Journal
    • /
    • v.25 no.6
    • /
    • pp.503-509
    • /
    • 2003
  • Selective epitaxial growth (SEG) of silicon has attracted considerable attention for its good electrical properties and advantages in building microstructures in high-density devices. However, SEG problems, such as an unclear process window, selectivity loss, and nonuniformity have often made application difficult. In our study, we derived processing diagrams for SEG from thermodynamics on gas-phase reactions so that we could predict the SEG process zone for low pressure chemical vapor deposition. In addition, with the help of both the concept of the effective supersaturation ratio and three kinds of E-beam patterns, we evaluated and controlled selectivity loss and non-uniformity in SEG, which is affected by the loading effect. To optimize the SEG process, we propose two practical methods: One deals with cleaning the wafer, and the other involves inserting dummy active patterns into the wide insulator to prevent the silicon from nucleating.

  • PDF

SEG Applications for Semiconductor Devices (선택적 단결정 실리콘 성장의 반도체 소자 적용)

  • Cheong, Woo-Seok
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.9-10
    • /
    • 2005
  • Process diagrams of selective epitaxial growth of silicon(SEG) could be developed from CVD thermodynamics. They could not only be helpful with understanding of the mechanism, but also offer good processing guidelines in manufacturing high density devices. Through the process optimization skill, applications of SEG to high-density device structures could be possible without problems such as loading effect and facet generation, with producing outstanding electronic properties.

  • PDF

Selective Epitaxial Growth of Si and SiGe using Si-Ge-H-Cl System for Self-Aligned HBT Applications (Si-Ge-H-Cl 계를 이용한 자기정렬 HBT용 Si 및 SiGe의 선택적 에피성장)

  • 김상훈;박찬우;이승윤;심규환;강진영
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.7
    • /
    • pp.573-578
    • /
    • 2003
  • Low temperature selective epitaxial growth of Si and SiGe has been obtained using an industrial single wafer chemical vapor deposition module operating at reduced pressure. Epitaxial Si and heteroepitaxial SiGe deposition with Ge content about 20 % has been studied as extrinsic base for self-aligned heterojunction bipolar transistors(HBTs), which helps to reduce the parasitic resistance to obtain higher maximum oscillation frequencies(f$\_$max/). The dependence of Si and SiGe deposition rates on exposed windows and their evolution with the addition of HCl to the gas mixture are investigated. SiH$_2$Cl$_2$ was used as the source of Si SEG(Selective Epitaxial Growth) and GeH$_4$ was added to grow SiGe SEG. The addition of HCl into the gas mixture allows increasing an incubation time even low growth temperature of 675∼725$^{\circ}C$. In addition, the selectivity is enhanced for the SiGe alloy and it was proposed that the incubation time for the polycrystalline deposit on the oxide is increased probably due to GeO formation. On the other hand, when only SiGe SEG(Selective Epitaxial Growth) layer is used for extrinsic base, it shows a higher sheet resistance with Ti-silicide because of Ge segregation to the interface, but in case of Si or Si/SiGe SEG layer, the sheet resistance is decreased up to 70 %.

Application of selective Epitaxial Growth of Silicon on MEMS Structure (실리콘 선택적 기상 성장을 이용한 마이크로 센서에 응용되는 구조물 제조법)

  • Pak, J.Jung-Ho;Kim, Jong-Kwan;Kim, Sang-Young;Sung, Yung-Kwon
    • Proceedings of the KIEE Conference
    • /
    • 1995.07c
    • /
    • pp.1025-1027
    • /
    • 1995
  • SEG(Selective Epitaxial Growth) and ELO(Epitaxial Lateral Growth) of Silicon offer new opportunities in the fabrication of MEMS(Micro Electro-Mechanical Systems) structures. SEG of silicon enables the stacking of junctions in addition to those resulting from the standard bipolar process and this properly was utilized for the fabrication of an improved-performance color sensor. When the crystalline growth takes place through the seed windows and proceeds over the dielectric, after reaching the surface, it form an ELO silicon layer and this ELO-Si can be modified into various structures for MEMS application such as cantilevers, beams, diaphragms.

  • PDF

A Study of I-V characteristics for elevated source/drain structure MOSFET use of silicon selective epitaxial growth (Silicon Selective Epitaxial Growth를 이용한 Elevated Source/Drain의 높이가 MOSFET의 전류-전압 특성에 미치는 영향 연구)

  • Lee, Ki-Am;Kim, Young-Shin;Pak, Jung-Ho
    • Proceedings of the KIEE Conference
    • /
    • 2001.07c
    • /
    • pp.1357-1359
    • /
    • 2001
  • 0.2${\mu}m$ 이하의 최소 선폭을 가지는 소자를 구현할 때 drain induced barrier lowering (DIBL)이나 hot electron effect와 같은 short channel effect (SCE)가 나타나며 이로 인하여 소자의 신뢰성이 악화되기도 한다. 이를 개선하기 위한 방법 중 하나가 silicon selective epitaxial growth (SEG)를 이용한 elevated source/drain (ESD) 구조이다. 본 연 구에서는 silicon selective epitaxial growth를 이용하여 elevated source/drain 구조를 갖는 MOSFET 소자와 일반적인 MOSFET 구조를 갖는 소자와의 차이를 elevated source/drain의 높이 변화에 따른 전류 전압 특성을 이용하여 비교, 분석하였으며 그 결과 elevated source/drain 구조가 short channel effect를 감소시킴을 확인할 수 있었다.

  • PDF

SiGe Nanostructure Fabrication Using Selective Epitaxial Growth and Self-Assembled Nanotemplates

  • Park, Sang-Joon;Lee, Heung-Soon;Hwang, In-Chan;Son, Jong-Yeog;Kim, Hyung-Jun
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2009.05a
    • /
    • pp.24.2-24.2
    • /
    • 2009
  • Nanostuctures such as nanodot and nanowire have been extensively studied as building blocks for nanoscale devices. However, the direct growth of the nanostuctures at the desired position is one of the most important requirements for realization of the practical devices with high integrity. Self-assembled nanotemplate is one of viable methods to produce highly-ordered nanostructures because it exhibits the highly ordered nanometer-sized pattern without resorting to lithography techniques. And selective epitaxial growth (SEG) can be a proper method for nanostructure fabrication because selective growth on the patterned openings obtained from nanotemplate can be a proper direction to achieve high level of control and reproducibility of nanostructucture fabrication. Especially, SiGe has led to the development of semiconductor devices in which the band structure is varied by the composition and strain distribution, and nanostructures of SiGe has represented new class of devices such nanowire metal-oxide-semiconductor field-effect transistors and photovoltaics. So, in this study, various shaped SiGe nanostructures were selectively grown on Si substrate through ultrahigh vacuum chemical vapor deposition (UHV-CVD) of SiGe on the hexagonally arranged Si openings obtained using nanotemplates. We adopted two types of nanotemplates in this study; anodic aluminum oxide (AAO) and diblock copolymer of PS-b-PMMA. Well ordered and various shaped nanostructure of SiGe, nanodots and nanowire, were fabricated on Si openings by combining SEG of SiGe to self-assembled nanotemplates. Nanostructure fabrication method adopted in this study will open up the easy way to produce the integrated nanoelectronic device arrays using the well ordered nano-building blocks obtained from the combination of SEG and self-assembled nanotemplates.

  • PDF

The effects of oxygen on selective Si epitaxial growth using disilane ane hydrogen gas in low pressure chemical vapor deposition ($Si_2H_6$$H_2$ 가스를 이용한 LPCVD내에서의 선택적 Si 에피텍시 성장에 미치는 산소의 영향)

  • 손용훈;박성계;김상훈;이웅렬;남승의;김형준
    • Journal of the Korean Vacuum Society
    • /
    • v.11 no.1
    • /
    • pp.16-21
    • /
    • 2002
  • Selective epitaxial growth(SEG) of silicon were performed at low temperature under an ultraclean environment below $1000^{\circ}C$ using ultraclean $Si_2H_6$ and $H_2$ gases ambient in low pressure chemical vapor deposition(LPCVD). As a result of ultraclean processing, epitaxial Si layers with good quality were obtained for uniform and SEG wafer at temperatures range 600~$710^{\circ}C$ and an incubation period of Si deposition only on $SiO_2$ was found. Low-temperature Si selectivity deposition condition and epitaxy on Si were achieved without addition of HCl. The epitaxial layer was found to be thicker than the poly layer deposited over the oxide. Incubation period prolonged for 20~30 sec can be obtained by $O_2$addition. The surface morphologies & cross sections of the deposited films were observed with SEM, The structure of the Si films was evaluated XRD.

A Study on the Device Characteristics of NMOSFETs Having Elevated Source/drain Made by Selective Epitaxial Growth(SEG) of Silicon (실리콘 선택적 결정 성장 공정을 이용한 Elevated Source/drain물 갖는 NMOSFETs 소자의 특성 연구)

  • Kim, Yeong-Sin;Lee, Gi-Am;Park, Jeong-Ho
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.51 no.3
    • /
    • pp.134-140
    • /
    • 2002
  • Deep submicron NMOSFETs with elevated source/drain can be fabricated using self-aligned selective epitaxial growth(SEG) of silicon for enhanced device characteristics with shallow junction compared to conventional MOSFETs. Shallow junctions, especially with the heartily-doped S/D residing in the elevated layer, give hotter immunity to Yt roll off, drain-induced-barrier-lowering (DIBL), subthreshold swing (SS), punch-through, and hot carrier effects. In this paper, the characteristics of both deep submicron elevated source/drain NMOSFETs and conventional NMOSFETs were investigated by using TSUPREM-4 and MEDICI simulators, and then the results were compared. It was observed from the simulation results that deep submicron elevated S/D NMOSFETs having shallower junction depth resulted in reduced short channel effects, such as DIBL, SS, and hot carrier effects than conventional NMOSFETs. The saturation current, Idsat, of the elevated S/D NMOSFETs was higher than conventional NMOSFETs with identical device dimensions due to smaller sheet resistance in source/drain regions. However, the gate-to-drain capacitance increased in the elevated S/D MOSFETs compared with the conventional NMOSFETs because of increasing overlap area. Therefore, it is concluded that elevated S/D MOSFETs may result in better device characteristics including current drivability than conventional NMOSFETs, but there exists trade-off between device characteristics and fate-to-drain capacitance.

Structure-related Characteristics of SiGe HBT and 2.4 GHz Down-conversion Mixer

  • Lee, Sang-Heung;Kim, Sang-Hoon;Lee, Ja-Yol;Bae, Hyun-Cheol;Lee, Seung-Yun;Kang, Jin-Yeong;Kim, Bo-Woo
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.6 no.2
    • /
    • pp.114-118
    • /
    • 2006
  • In this paper, the effect of base and collector structures on DC, small signal characteristics of SiGe HBTs fabricated by RPCVD was investigated. The structure of SiGe HBTs was designed into four types as follows: SiGe HBT structures which are standard, apply extrinsic-base SEG selective epitaxial growth (SEG), apply selective collector implantation (SCI), and apply both extrinsic-base SEG and SCI. We verified the devices could be applied to the fabrication of RFIC chip through a fully integrated 2.4 GHz down-conversion mixer.

Excimer Laser-Assisted In Situ Phosphorus Doped $Si_{(1-x)}Ge_x$ Epilayer Activation

  • Bae, Ji-Cheul;Lee, Young-Jae
    • ETRI Journal
    • /
    • v.25 no.4
    • /
    • pp.247-252
    • /
    • 2003
  • This paper presents results from experiments on laser-annealed SiGe-selective epitaxial growth (LA-SiGe-SEG). The SiGe-SEG technology is attractive for devices that require a low band gap and high mobility. However, it is difficult to make such devices because the SiGe and the highly doped region in the SiGe layer limit the thermal budget. This results in leakage and transient enhanced diffusion. To solve these problems, we grew in situ doped SiGe SEG film and annealed it on an XMR5121 high power XeCl excimer laser system. We successfully demonstrated this LA-SiGe-SEG technique with highly doped Ge and an ultra shallow junction on p-type Si (100). Analyzing the doping profiles of phosphorus, Ge compositions, surface morphology, and electric characteristics, we confirmed that the LA-SiGe-SEG technology is suitable for fabricating high-speed, low-power devices.

  • PDF