• 제목/요약/키워드: Mask Layer

검색결과 267건 처리시간 0.048초

TFT-LCDs 게이트 전극에 적용한 Cu(Mg) 합금 박막의 건식식각 (A Dry-patterned Cu(Mg) Alloy Film as a Gate Electrode in a Thin Film Transistor Liquid Crystal Displays (TFT- LCDs))

  • 양희정;이재갑
    • 한국재료학회지
    • /
    • 제14권1호
    • /
    • pp.46-51
    • /
    • 2004
  • The annealing of a Cu(4.5at.% Mg)/$SiO_2$/Si structure in ambient $O_2$, at 10 mTorr, and $300-500^{\circ}C$, allows for the outdiffusion of the Mg to the Cu surface, forming a thin MgO (15 nm) layer on the surface. The surface MgO layer was patterned, and successfully served as a hard mask, for the subsequent dry etching of the underlying Mg-depleted Cu films using an $O_2$ plasma and hexafluoroacetylacetone [H(hfac)] chemistry. The resultant MgO/Cu structure, with a taper slope of about $30^{\circ}C$ shows the feasibility of the dry etching of Cu(Mg) alloy films using a surface MgO mask scheme. A dry-etched Cu(4.5at.% Mg) gate a-Si:H TFT has a field effect mobility of 0.86 $\textrm{cm}^2$/Vs, a subthreshold swing of 1.08 V/dec, and a threshold voltage of 5.7 V. A novel process for the dry etching of Cu(Mg) alloy films, which eliminates the use of a hard mask, such as Ti, and results in a reduction in the process steps is reported for the first time in this work.

OLED공정에서 사용되는 섀도마스크의 습식 세정 후 세정표면 및 세정용액 분석에 관한 연구 (Analysis of Post Cleaning Solution After Wet Cleaning of Shadow Mask Used in OLED Process)

  • 최은화;표성규
    • 마이크로전자및패키징학회지
    • /
    • 제23권4호
    • /
    • pp.7-10
    • /
    • 2016
  • The post cleaning method for clean the shadow mask using in OLED (organic light emitting diode) emitter layer is always reforming. The cleaning solution and analysis method of shadow mask is still lack and not optimized. We use the simple and useful analytical method to determine the quantity and quality of organic and inorganic residue on surface of shadow mask. Finally analyze the cleaning solution using Raman spectroscopy efficiently.

Effects of $CH_{2}F_{2}$ and $H_2$ flow rates on process window for infinite etch selectivity of silicon nitride to PVD a-C in dual-frequency capacitively coupled plasmas

  • 김진성;권봉수;박영록;안정호;문학기;정창룡;허욱;박지수;이내응
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2009년도 춘계학술대회 논문집
    • /
    • pp.250-251
    • /
    • 2009
  • For the fabrication of a multilevel resist (MLR) based on a very thin amorphous carbon (a-C) layer an $Si_{3}N_{4}$ hard-mask layer, the selective etching of the $Si_{3}N_{4}$ layer using physical-vapor-deposited (PVD) a-C mask was investigated in a dual-frequency superimposed capacitively coupled plasma etcher by varying the following process parameters in $CH_{2}F_{2}/H_{2}/Ar$ plasmas : HF/LF powr ratio ($P_{HF}/P_{LF}$), and $CH_{2}F_{2}$ and $H_2$ flow rates. It was found that infinitely high etch selectivities of the $Si_{3}N_{4}$ layers to the PVD a-C on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The $H_2$ and $CH_{2}F_{2}$ flow ratio was found to play a critical role in determining the process window for infinite $Si_{3}N_{4}$/PVDa-C etch selectivity, due to the change in the degree of polymerization. Etching of ArF PR/BARC/$SiO_x$/PVDa-C/$Si_{3}N_{4}$ MLR structure supported the possibility of using a very thin PVD a-C layer as an etch-mask layer for the $Si_{3}N_{4}$ layer.

  • PDF

Shadow mask 여과 모듈을 이용한 슬러지 농축 특성 (Sludge Thickening Performance of the Filtration Bio-reactor Equipped with Shadow Mask Filter Module)

  • 정용준;권구호;민경석
    • 한국물환경학회지
    • /
    • 제21권1호
    • /
    • pp.29-33
    • /
    • 2005
  • In order to recycle the waste material and to develop the thickening unit of waste activated sludge from wastewater treatment facilities, the filtration bio-reactor equipped with a shadow mask filter module was employed for this work from which the operating properties and parameters were drawn. The sludge thickening and filtration unit is made of cylindrical acryl tank(12cm i.d. ${\times}$ 58cm height: working volume of 6L), where the flat-sheet type of shadow mask filter module(pore size: 220~250um, opening area: 34.8~39.6%) was installed and the effluent was withdrawn from the effluent port at the lowest point of the reactor, and the filtration was performed only by the hydraulic pressure. For evaluating the operating performance of this reactor, some parameters such as the solid-liquid separation of different biomass concentrations, the water quality of filtrate, the aeration cleaning time and the cleaning effect were investigated. Depending on the MLSS concentrations, the different time to withdraw 3L of filtrate was required in which the longer filtration time was necessary for the higher MLSS concentrations caused by the thicker formation of cake layer: 40 minutes for 5,000 mg/L, 70 minutes for 10,000 mg/L and 100 minutes for 15,000 mg/L, where the concentrations of SS were 8.9, 6.7 and 6.5 mg/L, respectively. Under the same operating conditions (the intensity of aeration cleaning: 80 L/min, MLSS: 10,000 mg/L), the proper aeration cleaning time was revealed 30 seconds, and the stable formation of cake layer was in the range of 10 to 15 minutes. Therefore, the shadow mask considered as a waste material can be of use as a filter material for the sludge thickening system.

In-Situ Dry-cleaning (ISD) Monitoring of Amorphous Carbon Layer (ACL) Coated Chamber

  • Lee, Ho-Jae;Park, George O.;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.183-183
    • /
    • 2012
  • In the era of 45 nm or beyond technology, conventional etch mask using photoresist showed its limitation of etch mask pattern collapse as well as pattern erosion, thus hard mask in etching became necessary for precise control of etch pattern geometry. Currently available hard mask materials are amorphous carbon and polymetric materials spin-on containing carbon or silicon. Amorphous carbon layer (ACL) deposited by PECVD for etch hard mask has appeared in manufacturing, but spin-on carbon (SOC) was also suggested to alleviate concerns of particle, throughput, and cost of ownership (COO) [1]. SOC provides some benefits of reduced process steps, but it also faced with wiggling on a sidewall profile. Diamond like carbon (DLC) was also evaluated for substituting ACL, but etching selectivity of ACL was better than DLC although DLC has superior optical property [2]. Developing a novel material for pattern hard mask is very important in material research, but it is also worthwhile eliminating a potential issue to continuously develop currently existing technology. In this paper, we investigated in-situ dry-cleaning (ISD) monitoring of ACL coated process chamber. End time detection of chamber cleaning not only provides a confidence that the process chamber is being cleaned, but also contributes to minimize wait time waste (WOW). Employing Challenger 300ST, a 300mm ACL PECVD manufactured by TES, a series of experimental chamber cleaning runs was performed after several deposition processes in the deposited film thickness of $2000{\AA}$ and $5000{\AA}$. Ar Actinometry and principle component analysis (PCA) were applied to derive integrated and intuitive trace signal, and the result showed that previously operated cleaning run time can be reduced by more than 20% by employing real-time monitoring in ISD process.

  • PDF

Cl2/HBr/CF4 반응성 이온 실리콘 식각 후 감광막 마스크 제거 (Removal of Photoresist Mask after the Cl2/HBr/CF4 Reactive Ion Silicon Etching)

  • 하태경;우종창;김관하;김창일
    • 한국전기전자재료학회논문지
    • /
    • 제23권5호
    • /
    • pp.353-357
    • /
    • 2010
  • Recently, silicon etching have received much attention for display industry, nano imprint technology, silicon photonics, and MEMS application. After the etching process, removing of etch mask and residue of sidewall is very important. The investigation of the etched mask removing was carried out by using the ashing, HF dipping and acid cleaning process. Experiment shows that oxygen component of reactive gas and photoresist react with silicon and converting them into the mask fence. It is very difficult to remove by using ashing or acid cleaning process because mask fence consisted of Si and O compounds. However, dilute HF dipping is very effective process for SiOx layer removing. Finally, we found optimized condition for etched mask removing.

UV 차단 금속막을 이용한 잔류층이 없는 UV 나노 임프린트 패턴 형성 (UV-nanoimprint Patterning Without Residual Layers Using UV-blocking Metal Layer)

  • 문강훈;신수범;박인성;이헌;차한선;안진호
    • 마이크로전자및패키징학회지
    • /
    • 제12권4호통권37호
    • /
    • pp.275-280
    • /
    • 2005
  • 나노 임프린트 (NIL)와 포토 리소그라피를 접목시킨 combined nanoimprint and photolithography (CNP) 기술을 이용하여 나노 미세 패턴을 형성하였다. 일반적인 UV-NIL 스탬프의 양각 패턴 위에 Cr 금속막을 입힌 hybrid mask mold (HMM)을 E-beam writing과 plasma etching으로 제작하였다. HMM 전면에는 친수성 물질인 $SiO_2$를 코팅하여 점착방지막 역할의 self-assembled monolayer(SAM) 형성을 용이하게 함으로써 HMM과 transfer layer의 분리를 용이하게 하여 패턴 손상을 억제하였다. 또한, transfer layer에는 일반적인 monomer resin 대신에 건식 에칭에 대한 저항력이 높은 negative PR을 사용하였다. Photo-mask 역할을 하는 HMM의 Cr 금속막이 UV를 차단하여 잔류하게 되는 PR의 비경화층(unexpected residual layer)은 간단한 현상 공정으로 제거하여 PR 잔류층이 없는 나노 미세 패턴을 transfer layer에 형성하였다.

  • PDF

종이 기반과 플라스틱 기반 보건마스크 패키징의 환경영향 비교 (Comparison of Environmental Evaluation for Paper and Plastic Based Mask Packaging)

  • 강동호;고유진;오상훈;추고현;장지수;이준혁;심진기
    • 한국포장학회지
    • /
    • 제30권1호
    • /
    • pp.73-83
    • /
    • 2024
  • In this study, environmental evaluation of high barrier coated paper (coating layer/paper) packaging is conducted in comparison with conventional aluminum laminated (PET/VMPET/LLDPE) plastic packaging. The target product for this packaging is a KF94 mask, which requires a high barrier of water and oxygen to maintain the filtration ability of the mask filter. The functional unit of this study is 10,000 mask packaging materials based on a material capable of blocking oxygen (<1 g/m2day) and moisture (<3 g/m2day) for the preservation of KF94 masks. In order to understand the results easily, paper-based mask packaging system divided into 6 stages (pulp, pulping & paper making, calendaring & coating, printing, packing and waste management), while plastic-based mask packaging consists of 5 stages (material production, processing, printing, packing, waste management) In case of paper-based mask packaging, most contributing stage is calendaring & coating, resulting from heat and electricity production. On the other hand, plastic-based mask packaging is contributed more than 30% by material production, specifically due to linear low density polyethylene and purified terephthalic acid production. The comparison results show that global warming potential of paper-based mask packaging has 32% lower than that of plastic-based mask packaging. Most of other impact indicators revealed in similar trend.

AttPSM을 사용하는 Metal Layer 리토그라피공정의 Overlay와 Side-lobe현상 방지 (Overlay And Side-lobe Suppression in AttPSM Lithography Process for An Metal Layer)

  • 이미영;이흥주
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2002년도 하계학술대회 논문집
    • /
    • pp.18-21
    • /
    • 2002
  • As the mask design rules get smaller, the probability of the process failure becomes higher due to the narrow overlay margin between the contact and metal interconnect layers. To obtain the minimum process margin, a tabbing and cutting method is applied with the rule based optical proximity correction to the metal layer, so that the protection to bridge problems caused by the insufficient space margin between the metal layers can be accomplished. The side-lobe phenomenon from the attenuated phase shift mask with the tight design nile is analyzed through the aerial image simulation for test patterns with variation of the process parameters such as numerical aperture, transmission rate, and partial coherence. The corrected patterns are finally generated by the rules extracted from the side-lobe simulation.

  • PDF

AttPSM metal layer 리토그라피공정의 side-lobe억제를 위한 Rule-based OPC (Rule-based OPC for Side-lobe Suppression in The AttPSM Metal Layer Lithography Process)

  • 이미영;이홍주;성영섭;김훈
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2002년도 하계종합학술대회 논문집(2)
    • /
    • pp.209-212
    • /
    • 2002
  • As the mask design rules get smaller, the probability of the process failure becomes higher doc to the narrow overlay margin between the contact and metal interconnect layers. To obtain the minimum process margin, a tabbing and cutting method Is applied with the rule based optical\ulcorner proximity correction to the metal layer, so that the protection to bridge problems caused by the insufficient space margin between the metal layers can be accomplished. The side-lobe phenomenon from the attenuated phase shift mask with the tight design rule is analyzed through the aerial image simulation for test patterns with variation of the process parameters such as numerical aperture, transmission rate, and partial coherence. The corrected patterns are finally generated by the rules extracted from the side-lobe simulation.

  • PDF