• 제목/요약/키워드: Fully Pipelined

검색결과 21건 처리시간 0.024초

Full-pipelined CTR-AES를 이용한 Giga-bit 보안모듈 설계 (A Design of Giga-bit security module Using Fully pipelined CTR-AES)

  • ;박주현;김영철
    • 한국정보통신학회:학술대회논문집
    • /
    • 한국해양정보통신학회 2008년도 춘계종합학술대회 A
    • /
    • pp.225-228
    • /
    • 2008
  • In this paper, we presented our implementation of a counter mode AES based on Virtex4 FPGA. Our design exploits three advanced features: composite field arithmetic SubByte, efficient MixColumn transformation, and On-the-Fly Key-Scheduling for fully pipelined architecture. By pipelining the composite field implementation of the S-box, the area cost is reduced to average 17 percent. By designing the On-the-Fly key scheduling, we implemented an efficient key-expander module which is specialized for a pipelined architecture.

  • PDF

완전한 파이프라인 방식의 비순차실행 수퍼스칼라 프로세서의 VHDL 설계 (VHDL Design for Out-of-Order Superscalar Processor of A Fully Pipelined Scheme)

  • 이종복
    • 한국인터넷방송통신학회논문지
    • /
    • 제21권1호
    • /
    • pp.99-105
    • /
    • 2021
  • 오늘날 멀티코어 프로세서, 시스템 반도체, 그래픽처리장치를 막론하고 그것을 구성하는 기본 단위 또는 필수적으로 투입되는 CPU의 기본단위는 수퍼스칼라 프로세서이다. 따라서, 고성능의 비순차실행 수퍼스칼라 프로세서가 채택되어야만 위에서 거론된 시스템의 성능을 극대화할 수 있다. 수퍼스칼라 프로세서는 완전한 파이프라인 방식으로 재배열버퍼와 예약스테이션을 이용하여 명령어를 동적 스케줄링 함으로써, 매 싸이클 당 복수 개의 명령어를 인출, 발행, 실행 및 기록한다. 본 논문에서는 예측실행 기능이 있는 완전한 파이프라인 방식의 비순차실행 수퍼스칼라 프로세서를 VHDL로 설계하고, GHDL로 검증하였다. 모의실험 결과, ARM 명령어로 구성된 프로그램에 대한 연산을 성공적으로 수행할 수 있었다.

완전한 파이프라인 방식의 비순차실행 프로세서의 설계 및 모의실행 (Design and Simulation for Out-of-Order Execution Processor of a Fully Pipelined Scheme)

  • 이종복
    • 한국인터넷방송통신학회논문지
    • /
    • 제20권5호
    • /
    • pp.143-149
    • /
    • 2020
  • 현재 컴퓨터 시스템의 중앙처리장치로 멀티코어 프로세서가 주로 이용되고 있으며, 고성능의 비순차실행 프로세서를 각 코어로 채택하여 시스템의 성능을 극대화할 수 있다. 초기의 토마술로 알고리즘을 적용한 비순차실행 프로세서는 부동소수점 명령어를 목표로 하였고, 복잡한 구조를 갖는 재배열버퍼와 예약스테이션의 사용 때문에 그 실행에 여러 싸이클이 소요되었다. 그러나, 프로세서가 비순차실행을 제대로 활용하여 명령어의 처리량을 높이기 위해서는 완전한 파이프라인 방식으로 동작해야한다. 본 논문에서는 예측실행 기능이 있는 완전한 파이프라인 방식의 비순차실행 프로세서를 VHDL로 설계하고, GHDL로 검증하였다. 모의실험 결과, ARM 명령어로 구성된 프로그램에 대한 연산을 성공적으로 수행할 수 있었다.

파이프라인 재귀적인 기술을 이용한 면적 효율적인 Reed-Solomon 복호기의 설계 (Design of an Area-Efficient Reed-Solomon Decoder using Pipelined Recursive Technique)

  • 이한호
    • 대한전자공학회논문지SD
    • /
    • 제42권7호
    • /
    • pp.27-36
    • /
    • 2005
  • 본 논문은 무선 및 초고속 광통신등 다양한 통신 시스템에서 사용되는 고속 Reed-Solomon (RS) 복호기의 하드웨어 면적을 줄인 새로운 구조를 소개한다. 특히 folding 기술을 이용하여 높은 처리율(throughput)과 적은 하드웨어 복잡도(hardware complexity)를 가지고 있는 새로운 PrME (Pipelined recursive Modified Euclidean) 구조를 제안한다 제안된 PrME 구조는 일반적으로 사용되는 systolic-array 그리고 완전한 병렬(fully-parallel) 구조와 비교하여 하드웨어 복잡도를 약 80$\%$정도 줄일 수 있다. 제안된 RS 복호기는 1.2 V의 공급전압과 0.13-um CMOS 기술을 사용하여 설계하고 구현하였는데, 총 24,600개의 게이트수, 5-Gbit/s의 데이터 처리율과 클락 주파수 625 MHz에서 동작함을 보여준다. 제안된 면적 효율적인 PrME 구조에 기반한 RS 복호기는 초고속 광통신뿐만 아니라 무선통신을 위한 차세대 FEC구조 등에 바로 적용될 수 있을 것이다.

A 9-Bit 80-MS/s CMOS Pipelined Folding A/D Converter with an Offset Canceling Technique

  • Lee, Seung-Chul;Jeon, Young-Deuk;Kwon, Jong-Kee
    • ETRI Journal
    • /
    • 제29권3호
    • /
    • pp.408-410
    • /
    • 2007
  • A 9-bit 80-MS/s CMOS pipelined folding analog-to-digital converter employing offset-canceled preamplifiers and a subranging scheme is proposed to extend the resolution of a folding architecture. A fully differential dc-decoupled structure achieves high linearity in circuit design. The measured differential nonlinearity and integral nonlinearity of the prototype are ${\pm}0.6$ LSB and ${\pm}1.6$ LSB, respectively.

  • PDF

휴대 단말기용 3D Graphics Lighting Processor 설계 (A Design of 3D Graphics Lighting Processor for Mobile Applications)

  • 양준석;김기철
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2005년도 추계종합학술대회
    • /
    • pp.837-840
    • /
    • 2005
  • This paper presents 3D graphics lighting processor based on vector processing using pipeline chaining. The lighting process of 3D graphics rendering contains many arithmetic operations and its complexity is very high. For high throughput, proposed processor uses pipelined functional units. To implement fully pipelined architecture, we have to use many functional units. Hence, the number of functional units is restricted. However, with the restricted number of pipelined functional units, the utilization of the units is reduced and a resource reservation problem is caused. To resolve these problems, the proposed architecture uses vector processing using pipeline chaining. Due to its pipeline chaining based architecture, it can perform 4.09M vertices per 1 second with 100MHz frequency. The proposed 3D graphics lighting processor is compatible with OpenGL ES API and the design is implemented and verified on FPGA.

  • PDF

A Pipelined Hardware Architecture of an H.264 Deblocking Filter with an Efficient Data Distribution

  • Lee, Sang-Heon;Lee, Hyuk-Jae
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제6권4호
    • /
    • pp.227-233
    • /
    • 2006
  • In order to reduce blocking artifacts and improve compression efficiency, H.264/AVC standard employs an adaptive in-loop deblocking filter. This paper proposes a new hardware architecture of the deblocking filter that employs a four-stage pipelined structure with an efficient data distribution. The proposed architecture allows a simultaneous supply of eight data samples to fully utilize the pipelined filter in both horizontal and vertical filterings. This paper also presents a new filtering order and data reuse scheme between consecutive macroblock filterings to reduce the communication for external memory access. The number of required cycles for filtering one macroblock (MB) is 357 cycles when the proposed filter uses dual port SRAMs. This execution speed is only 41.3% of that of the fastest previous work.

A VLSI Design for Digital Pre-distortion with Pipelined CORDIC Processors

  • Park, Jong Kang;Moon, Jun Young;Kim, Kyunghoon;Yang, Youngoo;Kim, Jong Tae
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제14권6호
    • /
    • pp.718-727
    • /
    • 2014
  • In a wireless communications system, a predistorter is often used to compensate for the nonlinear distortions that result from operating a power amplifier near the saturation region, thereby improving system performance and increasing the spectral efficiency for the communication channels. This paper presents a new VLSI design for the polynomial digital predistorter (DPD). The proposed DPD uses a Coordinate Rotation Digital Computing (CORDIC) processor and a PD process with a fully-pipelined architecture. Due to its simple and regular structure, it can be a competitive design when compared to existing polynomial-type and approximated DPDs. Implementing a fifth-order distorter with the proposed design requires only 43,000 logic gates in a $0.35{\mu}m$ CMOS standard cell library.

영상처리를 위한 Pipelined 병렬처리 시스템 (Pipelined Parallel Processing System for Image Processing)

  • 이형;김종배;최성혁;박종원
    • 전기전자학회논문지
    • /
    • 제4권2호
    • /
    • pp.212-224
    • /
    • 2000
  • 본 논문에서는 영상 응용프로그램의 처리 속도를 향상하기 위한 병렬처리 시스템을 제안한다. 병렬처리 시스템은 Pipelined SIMD 구조를 갖고 있으며, 다수개의 처리기와 다중접근 기억장치로 구성된다. 다중접근 기억장치는 메모리 모듈들과 메모리 제어부로 구성되며, 메모리 제어부는 메모리 모듈 선택 모듈, 데이터 라우팅 모듈, 그리고 주소 계산 및 라우팅 모듈로 구성되어 있으며, 블록, 행, 그리고 열 내의 데이터를 동시에 접근할 수 있는 기능을 제공한다. 제안한 병렬처리 시스템을 검증하기 위해서 형태학적 필터를 적용하여 기능 검증 및 처리속도를 확인하였다.

  • PDF

Full-pipelined CTR-AES를 이용한 Giga-bit 보안모듈 설계 (A design of Giga-bit security module using Fully pipe-lined CTR-AES)

  • ;박주현;김영철;김광옥
    • 한국정보통신학회논문지
    • /
    • 제12권6호
    • /
    • pp.1026-1031
    • /
    • 2008
  • 현재 가정과 소규모 사업장에서 재정적인 변화와 개인 커뮤니케이션 그리고 원격의료에 이르기까지 점점 GPON 사용이 일반화 되어가고 있다. 이러한 PON의 다중사용 때문에 개인정보 보호와 커뮤니케이션 보호를 위한 보안의 필요성이 더더욱 커지고 있다. 이를 위해 이 논문에서는 Virtex4 FPGA를 기반으로 AES의 카운터 모드를 구현하였다. 본 논문에서 구현된 구조는 pipeline 구조 구현을 위하여 크게 세 가지 특징을 가지고 있는데 1) composite filed 연산을 이용한 Subbyte, 2) efficient MixColumn transformation, 그리고 3) on-the-fly key scheduling이다. 구현된 S-box는 면적의 17% 감소와 on-the-fly key 스케줄링 기법으로 pipeline 구조에 특화된 key-expander 기능을 구현하였다.