• Title/Summary/Keyword: Etched pattern

Search Result 116, Processing Time 0.029 seconds

Fabrication of a Micro-Riblet Film Using MEMS Technology and Its Application to Drag Reduction (MEMS 기술을 이용한 미소 리블렛 필름 제작 및 항력 감소에의 응용)

  • Han, Man-Hee;Huh, Jeong-Ki;Lee, Sang-Joon;Lee, Seung-Seop
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.26 no.7
    • /
    • pp.991-996
    • /
    • 2002
  • This paper presents the fabrication method of a micro-riblet film (MRF) using MEMS technology and the experimental results of the drag reduction of an airfoil with MRFs. Riblets having grooved surface in the streamwise direction has been proven as an effective passive control technique of the drag reduction. A V-grooved pattern on (100) silicon wafer is etched with anisotropic bulk micromachining. The MRF is completed by replicating the V-grooved pattern with polydimethylsiloxane (PDMS). Experiments were performed by measuring a velocity field behind the trailing edge of a NACA 0012 airfoil with and without MRFs in a closed-type subsonic wind tunnel using particle image velocimetry (PlV) technique. The MRF provides about 3.8 % drag reduction compared to the drag on a smooth airfoil when the freestream velocity of wind tunnel is 3.3 m/s.

Fabrication of Grooved Pattern for the Light Guide Plate of TFT-LCD with CO2 Laser (CO2 레이저 빔을 이용한 TFT-LCD 도광판의 패턴 제작에 관한 연구)

  • 김경동;백창일;송철기;안성훈
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2002.10a
    • /
    • pp.147-150
    • /
    • 2002
  • A light guide panel is an element of the LCD backlight module that is often used for the display of compact electronic devices. In this study, a laser marking system is proposed to fabricate light guide panel, which can be replaced of other manufacturing methods such as silk printing, stamping, and v-cutting methods. The objectives of this research are the establishment of laser marking system, evaluation of laser marking parameters, understanding marking process, application to PMMA, reliability test and quality inspection. A 50W $CO_2$ laser (CW) was used to perform different experiments in which, the influence of some processing parameters (average power, scanning speed) on the geometry and quality of groove pattern was studied. The width of the etched grooves increases with increasing a laser power and decreasing a scan speed. In order to analyze surface characteristics and optical properties (luminance, uniformity), SEM photography and BM7 (luminance measuring system) were used. As a result, the optimal conditions of the process parameters were determined.

  • PDF

A study on the fabrication method of middle size LGP using continuous micro-lenses made by LIGA reflow

  • Kim, Jong-Sun;Ko, Young-Bae;Hwang, Chul-Jin;Kim, Jong-Deok;Yoon, Kyung-Hwan
    • Korea-Australia Rheology Journal
    • /
    • v.19 no.3
    • /
    • pp.171-176
    • /
    • 2007
  • LCD-BLU (Liquid Crystal Display-Back Light Unit) of medium size is usually manufactured by forming numerous dots with $50{\sim}300\;{\mu}m$ in diameter by etching process and V-grove shape with $50\;{\mu}m$ in height by mechanical cutting process. However, the surface of the etched dots is very rough due to the characteristics of the etching process and V-cutting needs rather high cost. Instead of existing optical pattern made by etching and mechanical cutting, 3-dimensional continuous micro-lens of $200\;{\mu}m$ in diameter was applied in the present study. The continuous micro-lens pattern fabricated by modified LIGA with thermal reflow process was tested to this new optical design of LGP. The manufacturing process using LIGA-reflow is made up of three stages as follows: (i) the stage of lithography, (ii) the stage of thermal reflow process and (iii) the stage of electroplating. The continuous micro-lens patterned LGP was fabricated with injection molding and its test results showed the possibility of commercial use in the future.

Refilled mask structure for Minimizing Shadowing Effect on EUV Lithography

  • Ahn, Jin-Ho;Shin, Hyun-Duck;Jeong, Chang-Young
    • Journal of the Semiconductor & Display Technology
    • /
    • v.9 no.4
    • /
    • pp.13-18
    • /
    • 2010
  • Extreme ultraviolet (EUV) lithography using 13.5 nm wavelengths is expected to be adopted as a mass production technology for 32 nm half pitch and below. One of the new issues introduced by EUV lithography is the shadowing effect. Mask shadowing is a unique phenomenon caused by using mirror-based mask with an oblique incident angle of light. This results in a horizontal-vertical (H-V) biasing effect and ellipticity in the contact hole pattern. To minimize the shadowing effect, a refilled mask is an available option. The concept of refilled mask structure can be implemented by partial etching into the multilayer and then refilling the trench with an absorber material. The simulations were carried out to confirm the possibility of application of refilled mask in 32 nm line-and-space pattern under the condition of preproduction tool. The effect of sidewall angle in refilled mask is evaluated on image contrast and critical dimension (CD) on the wafer. We also simulated the effect of refilled absorber thickness on aerial image, H-V CD bias, and overlapping process window. Finally, we concluded that the refilled absorber thickness for minimizing shadowing effect should be thinner than etched depth.

A Study on OLED Characteristics according to etching conditions of ITO Pattern (ITO 패턴의 식각 조건에 따른 OLED 특성에 관한 연구)

  • Lee, Eui-Sik;Lee, Byoung-Wook;Lee, Tae-Sung;Lee, Keun-Woo;Lee, Jong-Ha;Moon, Soon-Kwon;Hong, Chin-Soo;Kim, Chang-Kyo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.04a
    • /
    • pp.49-51
    • /
    • 2006
  • OLEOs was fabricated by PLD method. Wet etching process and plasma treatment of ITO on the glass were performed to extend the lifetime of the OLED and increase its brightness. The NPB, $Alq_3$, Li-Benzoate and AI layers on ITO pattern on the glass were deposited by PLO method, sequentially. When the etched ITO was treated by $O_2$ plasma with RF power of 50W, the best result was obtained. The lifetime of the OLED treated by $O_2$ plasma was extended from 3,770sec to 12,586sec compared to that without the plasma treatment.

  • PDF

Direct Patterning Technology of Indium Tin Oxide Layer using Nd:$YVO_4$ Laser Beam (Nd:$YVO_4$ 레이저 빔을 이용한 인듐 주석 산화물 직접 묘화 기술)

  • Kim, Kwang-Ho;Kwon, Sang-Jik
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.45 no.11
    • /
    • pp.8-12
    • /
    • 2008
  • For the reduction of fabrication cost and process time of AC plasma display panel (PDP), indium tin oxide (ITO) layer was patterned as bus electrode using Nd:$YVO_4$ laser. In comparison with the chemically wet etched ITO patterns, laser ablated ITO patterns showed the formation of shoulders and ripple-like structures at the edge of the ITO lines. For the reduction of shoulders and ripple-like structures, pulse repetition rate and scan velocity of laser was changed. In addition, we analyzed a discharge characteristic of PDP test panel to observe how the shoulders and ripple-like structures influence on the PDP. Based on experimental results, the pattern etched at the 500 mm/s and 40 kHz was better than any other condition. From this experiment we could see the possibility of the laser direct patterning for the application to the patterning of ITO in AC-PDP.

Microscopy Study for the Batch Fabrication of Silicon Diaphragms (실리콘 Diaphragm의 일괄 제조공정을 위한 Microscopy Study)

  • 하병주;주병권;차균현;오명환;김철주
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.29A no.1
    • /
    • pp.33-40
    • /
    • 1992
  • Several etching phenomena were observed and analyzed in diaphragm process performed on 4-inch (100) Si wafers for sensor application. In case of deep etching to above 300$\mu$m depth, the etch-defects appeared at etched surface could be classified into three categories such as hillocks, reaction products, and white residues. It was known that the hillock had a pyramidal shape or trapizoidal hexahedron structure depending on the density and size of the reaction products. The IR spectra showed that the white residue, which was due to the local over-saturation of Si dissolved in solution, was mostly Si-N-O compounds mixed with a small amount of H and C etc. Also, the difference in both the existence of etch-defects and etch rate distribution over a whole wafer was investigated when the etched surfaces were downward, upward horizontally and erective in etching solutions. The obtained data were analyzed through flow pattern in the etching bath. As the results, the downward and erective postures were favorable in the etch rate uniformity and the etch-defect removal, respectively.

  • PDF

Scanning Capacitance Microscope by Stage Driving (스테이지 구동방식 주사형정전용량 현미경)

  • Kim Eung Kyeu
    • Journal of the Korean Institute of Telematics and Electronics B
    • /
    • v.31B no.7
    • /
    • pp.101-107
    • /
    • 1994
  • In this work a scanning capacitance microscopy(SCaM) by stage driving is proposed and presented some of the experimental results.SCaM is a microscope which scans a surface of materials mechanically in two or two point five dimensions by a capacitance probe with a few tenth $\mu\textrm{m}$ ize tip, and display images of the surface shape or capacitive distribution. The present target of the SCaM is 0.1$\mu\textrm{m}$ resolution power which exceeds that of optical microscope. This will become a powerful tool for inspecting ULSI pattern etched by X-ray biological data etc. The experimental system is composed based on a VHD video disk which captures the capacitance changes of the video disk surface and converts it into video signal.

  • PDF

Use of Hard Mask for Finer (<10 μm) Through Silicon Vias (TSVs) Etching

  • Choi, Somang;Hong, Sang Jeen
    • Transactions on Electrical and Electronic Materials
    • /
    • v.16 no.6
    • /
    • pp.312-316
    • /
    • 2015
  • Through silicon via (TSV) technology holds the promise of chip-to-chip or chip-to-package interconnections for higher performance with reduced signal delay and power consumption. It includes high aspect ratio silicon etching, insulation liner deposition, and seamless metal filling. The desired etch profile should be straightforward, but high aspect ratio silicon etching is still a challenge. In this paper, we investigate the use of etch hard mask for finer TSVs etching to have clear definition of etched via pattern. Conventionally employed photoresist methods were initially evaluated as reference processes, and oxide and metal hard mask were investigated. We admit that pure metal mask is rarely employed in industry, but the etch result of metal mask support why hard mask are more realistic for finer TSV etching than conventional photoresist and oxide mask.

Fabrication and studies on the properties of a spinning-disk confocal microscope (회전원판식 공초점 현미경의 구성과 광학특성)

  • 신은성;남기봉
    • Korean Journal of Optics and Photonics
    • /
    • v.8 no.4
    • /
    • pp.255-259
    • /
    • 1997
  • In this paper, the result of a performance study on a home-built spinning disk type confocal microscope is presented. The confocal microscope was fabricated with a Nipkow disk made of the made of the microfilm. The throughput of the disk was 0.5%, allowing the observation of specimen with higher reflectivities only. A laser diode at 692.7nm was used as the light source. The topographic structures of a PC ROM and the CD ROM were observed with sufficient reliability, while the effect of the convolution of the beam size with the finite object size was found dominant. Also the shadowing effect by the etched pattern was observed.

  • PDF