Browse > Article

Refilled mask structure for Minimizing Shadowing Effect on EUV Lithography  

Ahn, Jin-Ho (Department of Materials Science and Engineering Hanyang University)
Shin, Hyun-Duck (Department of Materials Science and Engineering Hanyang University)
Jeong, Chang-Young (Department of Materials Science and Engineering Hanyang University)
Publication Information
Journal of the Semiconductor & Display Technology / v.9, no.4, 2010 , pp. 13-18 More about this Journal
Abstract
Extreme ultraviolet (EUV) lithography using 13.5 nm wavelengths is expected to be adopted as a mass production technology for 32 nm half pitch and below. One of the new issues introduced by EUV lithography is the shadowing effect. Mask shadowing is a unique phenomenon caused by using mirror-based mask with an oblique incident angle of light. This results in a horizontal-vertical (H-V) biasing effect and ellipticity in the contact hole pattern. To minimize the shadowing effect, a refilled mask is an available option. The concept of refilled mask structure can be implemented by partial etching into the multilayer and then refilling the trench with an absorber material. The simulations were carried out to confirm the possibility of application of refilled mask in 32 nm line-and-space pattern under the condition of preproduction tool. The effect of sidewall angle in refilled mask is evaluated on image contrast and critical dimension (CD) on the wafer. We also simulated the effect of refilled absorber thickness on aerial image, H-V CD bias, and overlapping process window. Finally, we concluded that the refilled absorber thickness for minimizing shadowing effect should be thinner than etched depth.
Keywords
EUV; Mask shadowing; refilled mask structure; H-V CD bias;
Citations & Related Records
연도 인용수 순위
  • Reference
1 M. Besacier and P. Schiavone., "Shadowing effect minimization in EUV mask by modeling," Proc. SPIE, Vol. 5446, pp. 849-859, 2004.
2 P.-Y. Yan., "The Impact of EUVL Mask Buffer and Absorber Material Properties on Mask Quality and Performance," Proc. SPIE, Vol. 4688, pp. 150-160, 2002.
3 Pei-Yang Yan, US patent publication No. US 2003/0027053 A1.
4 Bruno La Fontaine, Adam R. Pawloski, Yunfei Deng, Christian Chovino, Laurent Dieu, Obert R. Wood, Harry J. Levinson., "Simulation of fine structures and defects in EUV etched multilayer masks," Proc. SPIE, Vol. 5374, pp. 300-310, 2004.
5 Panoramic Technology [http://www.panoramictech.com].
6 J. Benschop, V. Banine, S. Lok, and E. Loopstra., "Extreme ultraviolet lithography: Status and prospects," J. Vac. Sci. Technol, Vol. B26, pp. 2204-2207, 2008.
7 The index of refraction for a compound material [http://henke.lbl.gov/optical_constants/getdb2.html].
8 Yunfei Deng, Bruno La Fontaine, Adam R. Pawloski, Andrew R. Neureuther., "Simulation of fine structures and defects in EUV etched multilayer masks," Proc. SPIE, Vol. 5374, pp. 760-770, 2009.
9 H.-S. Seo, D.-G. Lee, B.-S. Ahn, H. Han, S. Huh, I.-Y. Kang, H. Kim, D. Kim, S.-S. Kim, and H.-K. Cho., "Characteristics and issues of an EUVL mask applying phase-shifting thinner absorber for device fabrication," Proc. SPIE, Vol. 7271, pp. 72710-72720, 2009.
10 B. LaFontaine, Y. Deng, R.-H. Kim, H. J. Levinson, U. Okoroanyanwu, R. Sandberg, T. Wallow, and O. Wood, J., "Extreme ultraviolet lithography: From research to manufacturing," J. Vac. Sci. Technol, Vol. B25, pp. 2089-2093, 2007.
11 G. F. Lorusso, A. M. Goethals, R. Jonckheere, J. Hermans, K. Ronse, A. M. Myers, I. Kim, A. Niroomand, F. Iwamoto, and D. Ritter., "Extreme ultraviolet lithography at IMEC: Shadowing compensation and flare mitigation strategy," J. Vac. Sci. Technol, Vol. B25, pp. 2127-2131, 2007.
12 M. Sugawara, M. Ito, T. Ogawa, E. Hoshino, A. Chiba, and S. Okazaki., "Pattern Printability for Off-axis Incident Light in EUV Lithography," Proc. SPIE, Vol. 4688, pp. 277-288, 2002.