• Title/Summary/Keyword: Etch

Search Result 1,365, Processing Time 0.026 seconds

Surface Reaction of Na0.5K0.5NbO3 Thin Films in Inductively Coupled BCl3/Cl2/Ar Plasma (BCl3/Cl2/Ar 플라즈마에서의 Na0.5K0.5NbO3 박막의 표면반응)

  • Kim, Dong-Pyo;Um, Doo-Seung;Kim, Gwan-Ha;Woo, Jong-Chang;Kim, Chang-Il
    • Journal of the Korean institute of surface engineering
    • /
    • v.41 no.6
    • /
    • pp.269-273
    • /
    • 2008
  • The etch of $(Na_{0.5}K_{0.5})NbO_3$ (NKN) thin film was performed in $BCl_3/Cl_2/Ar$ inductively coupled plasma. It was found that the 1sccm addition $BCl_3$ (5%) into $Cl_2/Ar$ plasma caused a non-monotonic behavior of the NKN etch rate. The maximum etch rate of NKN was 95.3 nm/min at $BCl_3$ (1 sccm)/$Cl_2$ (16 sccm)/Ar (4 sccm), 800 W ICP power, 1 Pa pressure and 400 W bias power. The NKN etch rate shows a monotonic behavior a s the bias power increases. The analysis of the narrow scan spectra of XPS for both a s-deposited and etched NKN films allowed one to assume ion assisted etch mechanism. The most probable reason for the maximum etch rate can be defined as a concurrence of chemical and physical etch pathways.

Infinitely high selectivity etching of SnO2 binary mask in the new absorber material for EUVL using inductively coupled plasma

  • Lee, S.J.;Jung, C.Y.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.285-285
    • /
    • 2011
  • EUVL (Extreme Ultra Violet Lithography) is one of competitive lithographic technologies for sub-30nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance since the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

Etch Characteristics of $SiO_2$ by using Pulse-Time Modulation in the Dual-Frequency Capacitive Coupled Plasma

  • Jeon, Min-Hwan;Gang, Se-Gu;Park, Jong-Yun;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.472-472
    • /
    • 2011
  • The capacitive coupled plasma (CCP) has been extensively used in the semiconductor industry because it has not only good uniformity, but also low electron temperature. But CCP source has some problems, such as difficulty in varying the ion bombardment energy separately, low plasma density, and high processing pressure, etc. In this reason, dual frequency CCP has been investigated with a separate substrate biasing to control the plasma parameters and to obtain high etch rate with high etch selectivity. Especially, in this study, we studied on the etching of $SiO_2$ by using the pulse-time modulation in the dual-frequency CCP source composed of 60 MHz/ 2 MHz rf power. By using the combination of high /low rf powers, the differences in the gas dissociation, plasma density, and etch characteristics were investigated. Also, as the size of the semiconductor device is decreased to nano-scale, the etching of contact hole which has nano-scale higher aspect ratio is required. For the nano-scale contact hole etching by using continuous plasma, several etch problems such as bowing, sidewall taper, twist, mask faceting, erosion, distortions etc. occurs. To resolve these problems, etching in low process pressure, more sidewall passivation by using fluorocarbon-based plasma with high carbon ratio, low temperature processing, charge effect breaking, power modulation are needed. Therefore, in this study, to resolve these problems, we used the pulse-time modulated dual-frequency CCP system. Pulse plasma is generated by periodical turning the RF power On and Off state. We measured the etch rate, etch selectivity and etch profile by using a step profilometer and SEM. Also the X-ray photoelectron spectroscopic analysis on the surfaces etched by different duty ratio conditions correlate with the results above.

  • PDF

Surface morphology variation during wet etching of GaN epilayer grown by HVPE (HVPE법으로 성장시킨 GaN 단결정의 wet etching에 의한 표면 변화)

  • Oh, Dong Keun;Choi, Bong Geun;Bang, Sin-Yeong;Kang, Suk Hyun;Kim, So Yeon;Kim, Sae Am;Lee, Seong Kuk;Chung, Jin Hyun;Kim, Kyoung Hun;Shim, Kwang Bo
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.22 no.6
    • /
    • pp.261-264
    • /
    • 2012
  • In this paper, we investigated characteristics of etching induced surface morphology variation by wet etching of GaN epilayer were grown on sapphire (0001) substrate by hydride vapor phase epitaxy (HVPE). As a results of scanning electron microscope (SEM) observation, three types of hexagonal etch pits (Edge, Screw, Mixed) were formed by the GaN epilayer thickness variations. A lot of etch pits, attributed to screw and mixed type TD, were observed at thinner epilayer, leading to high etch pit density. On the other hand, the thickness of GaN epilayer increased with the number of etch pits corresponding to edge and mixed dislocations, which are the majority of TDs are observed.

Property variation of transistor in Gate Etch Process versus topology of STI CMP (STI CMP후 Topology에 따른 Gate Etch, Transistor 특성 변화)

  • 김상용;정헌상;박민우;김창일;장의구
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11a
    • /
    • pp.181-184
    • /
    • 2001
  • Chemical Mechanical Polishing(CMP) of Shallow Trench Isolation(STI) structure in 0.18 m semiconductor device fabrication is studied. CMP process is applied for the STI structure with and without reverse moat pattern and End Point Detection (EPD) method is tested. To optimize the transistor properties related metal 1 parameters, we studied the correlation between CMP thickness of STI using high selectivity slurry, DOE of gate etch recipe, and 1st metal DC values. Remaining thickness of STI CMP is proportional to the thickness of gate-etch process and this can affect to gate profile. As CMP thickness increased, the N-poly foot is deteriorated, and the P-Poly Noth is getting better. If CD (Critical Dimension) value is fixed at some point, all IDSN/P values are in inverse proportional to CMP thickness by reason of so called Profile Effect. Weve found out this phenomenon in all around DOE conditions of Gate etch process and we also could understand that it would not have any correlation effects between VT and CMP thickness in the range of POE 120 sec conditions. As CMP thickness increased by 100 ${\AA}$, 3.2 u${\AA}$ of IDSN is getting better in base 1 condition. In POE 50% condition, 1.7 u${\AA}$ is improved, and 0.7 u${\AA}$ is improved in step 2 condition. Wed like to set the control target of CD (critical dimension) in gate etch process which can affect Idsat, VT property versus STI topology decided by CMP thickness. We also would like to decide optimized thickness target of STI CMP throughout property comparison between conventional STI CMP with reverse moat process and newly introduced STI CMP using high selectivity slurry. And we studied the process conditions to reduce Gate Profile Skew of which source known as STI topology by evaluation of gate etch recipe versus STI CMP thickness.

  • PDF

The etching properties of $Al_2O_3$ thin films in $N_2/Cl_2/BCl_3$ and Ar/$Cl_2/BCl_3$ gas chemistry (유도결합 플라즈마를 이용한 $Al_2O_3$ 식각 특성)

  • Koo, Seong-Mo;Kim, Dong-Pyo;Kim, Kyoung-Tae;Kim, Chang-Il
    • Proceedings of the KIEE Conference
    • /
    • 2004.11a
    • /
    • pp.72-74
    • /
    • 2004
  • In this study, we used a inductively coupled plasma (ICP) source for etching $Al_2O_3$ thin films because of its high plasma density, low process pressure and easy control bias power. $Al_2O_3$ thin films were etched using $Cl_2/BCl_3$, $N_2/Cl_2/BCl_3$, and Ar/$Cl_2/BCl_3$ plasma. The experiments were carried out measuring the etch rates and the selectivities of $Al_2O_3$ to $SiO_2$ as a function of gas mixing ratio, rf power, and chamber pressure. When $Cl_2$ 50% was added to $Cl_2/BCl_3$ plasma, the etch rate of the $Al_2O_3$ films was 118 nm/min. We also investigated the effect of gas addition. In case of $N_2$ addition, the etch rate of the $Al_2O_3$ films decreased while $N_2$ was added into $Cl_2/BCl_3$ plasma. However, the etch rate increased slightly as Ar added into $Cl_2/BCl_3$ plasma, and then further increase of Ar decreased the etch rate. The maximum etch rate was 130 nm/min at Ar 20% in $Cl_2/BCl_3$ plasma, and the highest etch selectivity was 0.81 in $N_2$ 20% in $Cl_2/BCl_3$ plasma. And, we obtained the results that the etch rate increases as rf power increases and chamber pressure decreases. The characteristics of the plasmas were estimated using optical emission spectroscopy (OES).

  • PDF

Analysis of Si Etch Uniformity of Very High Frequency Driven - Capacitively Coupled Ar/SF6 Plasmas (VHF-CCP 설비에서 Ar/SF6 플라즈마 분포가 Si 식각 균일도에 미치는 영향 분석)

  • Lim, Seongjae;Lee, Ingyu;Lee, Haneul;Son, Sung Hyun;Kim, Gon-Ho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.20 no.4
    • /
    • pp.72-77
    • /
    • 2021
  • The radial distribution of etch rate was analyzed using the ion energy flux model in VHF-CCP. In order to exclude the effects of polymer passivation and F radical depletion on the etching. The experiment was performed in Ar/SF6 plasma with an SF6 molar ratio of 80% of operating pressure 10 and 20 mTorr. The radial distribution of Ar/SF6 plasma was diagnosed with RF compensated Langmuir Probe(cLP) and Retarding Field Energy Analyzer(RFEA). The radial distribution of ion energy flux was calculated with Bohm current times the sheath voltage which is determined by the potential difference between the plasma space potential (measured by cLP) and the surface floating potential (by RFEA). To analyze the etch rate uniformity, Si coupon samples were etched under the same condition. The ion energy flux and the etch rate show a close correlation of more than 0.94 of R2 value. It means that the etch rate distribution is explained by the ion energy flux.

Characterization of via etch by enhanced reactive ion etching

  • Bae, Y.G.;Park, C.S.
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.14 no.6
    • /
    • pp.236-243
    • /
    • 2004
  • The oxide etching process was characterized in a magnetically enhanced reactive ion etching (MERIE) reactor with a $CHF_3CF_4$ gas chemistry. A statistical experimental design plus one center point was used to characterize relationships between process factors and etch response. The etch response modeled are etch rate, etch selectivity to TiN and uniformity. Etching uniformity was improved with increasing $CF_4$ flow ratio, increasing source power, and increasing pressure depending on source power. Characterization of via etching in $CHF_3CF_4$ MERIE using neural networks was successfully executed giving to highly valuable information about etching mechanism and optimum etching condition. It was found that etching uniformity was closely related to surface polymerization, DC bias, TiN and uniformity.

Etching Characteristics of Au Film using Capacitively Coupled CF4/Ar Plasma

  • Kim, Gwang-Beom;Hong, Sang-Jeen
    • Journal of the Speleological Society of Korea
    • /
    • no.82
    • /
    • pp.1-4
    • /
    • 2007
  • In this paper, the etching of Au films using photoresist masks on Si substrates was investigated using a capacitively coupled plasma etch reactor. The advantages of plasma etch techniques over current methods for Au metalization include the ability to simplify the metalization process flow with respect to resist lift-off schemes, and the ability to cleanly remove etched material without sidewall redeposition, as is seen in ion milling. The etch properties were measured for different gas mixing ratios of CF4/Ar, and chamber pressures while the other conditions were fixed. According to statistical design of experiment (DOE), etching process of Au films was characterized and also 20 samples were fabricated followed by measuring etch rate, selectivity and etch profile. There is a chemical reaction between CF4 and Au. Au- F is hard to remove from the surface because of its high melting point. The etching products can be sputtered by Ar ion bombardment.

Study on Latch Up Characteristics of Super Junction MOSFET According to Trench Etch Angle (Trench 식각각도에 따른 Super Juction MOSFET의 래치 업 특성에 관한 연구)

  • Chung, Hun Suk;Kang, Ey Goo
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.27 no.9
    • /
    • pp.551-554
    • /
    • 2014
  • This paper was showed latch up characteristics of super junction power MOSFET by parasitic thyristor according to trench etch angle. As a result of research, if trench etch angle of super junction MOSFET is larger, we obtained large latch up voltage. When trench etch angle was $90^{\circ}$, latch up voltage was more 50 V. and we got 700 V breakdown voltage. But we analyzed on resistance. if trench etch angle of super junction MOSFET is larger, we obtained high on resistance. Therefore, we need optimal point by simulation and experiment for solution of trade off.