• Title/Summary/Keyword: End-Point Detection

Search Result 161, Processing Time 0.062 seconds

Feasibility of On-chip Detection of Endotoxin by LAL Test

  • Lee, Eun-Kyu;Suh, Chang-Woo;Hwang, Sang-Youn;Park, Hyo-Jin;Seong, Gi-Hoon;Ahn, Yoo-Min;Kim, Yang-Sun
    • Biotechnology and Bioprocess Engineering:BBE
    • /
    • v.9 no.2
    • /
    • pp.132-136
    • /
    • 2004
  • The LAL (Limulus amebocyte lysate) test for the detection and quantification of endotoxin is based on the gelation reaction between endotoxin and LAL from a blood extract of Limulus polyphemus. The test is labor intensive, requiring dedicated personnel, a relatively long reaction time (approximately 1 h), relatively large volumes of samples and reagents and the detection of the end-point is rather subjective. To solve these problems, a miniaturized LOC (lab-on-a-chip) prototype, 62mm (L) ${\times}$ 18 mm (W), was fabricated using PDMS (polydimethylsiloxane) bonded to glass. Using this prototype, in which 2mm (W) ${\times}$ 44.3mm (L) ${\times}$ 100 $\mu\textrm{m}$ (D) microfluidic channel was constructed, turbidometric and chromogenic assay detection methods were compared, and the chromogenic method was found the most suitable for a small volume assay. In this assay, the kinetic-point method was more accurate than the end-point method. The PDMS chip thickness was found to be minimized to around 2 mm to allow sufficient light transmittance, which necessitated the use of a glass slide bonding for chip rigidity. Due to this miniaturization, the test time was reduced from 1 h to less than 10 min, and the sample volume could be reduced from 100 to ca. 4.4 ${\mu}$L. In summation, this study suggested that the LOC using the LAL test principle could be an alternative as a semi-automated and reliable method for the detection of endotoxin.

Start Point Detection Method for Tracing the Injection Path of Steel Rebars (철근 사출 궤적 추적을 위한 시작지점 검출 방법)

  • Lee, Jun-Mock;Kang, Dae-Seong
    • The Journal of Korean Institute of Information Technology
    • /
    • v.17 no.6
    • /
    • pp.9-16
    • /
    • 2019
  • Companies that want to improve their manufacturing processes have recently introduced the smart factory, which is particularly noticeable. The ultimate goal is to maximize the area of the smart factory that performs the process of the production facility completely with minimal manual control and to minimize errors of reasoning. This research is a part of a project for unmanned production, management, packaging, and delivery management and the detection of the start point of rebars to perform the automatic calibration of the rollers through the tracking of the automated facilities of unmanned production. It must meet the requirement to accurately track the position from the start point to the end point. In order to improve the tracking performance, it is important to set the accurate start point. However, the probability of tracking errors is high depending on environments such as illumination and dust through the conventional time-based detection method. In this paper, we propose a starting point detection method using the average brightness change of high speed IR camera to reduce the errors according to the environments, As a result, its performance is improved by more than 15%.

Control of Haptic Hand Controller Using Collision Detection Algorithm (충돌감지 알고리듬을 적용한 햅틱 핸드 컨트롤러의 제어)

  • 손원선;조경래;송재복
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2003.06a
    • /
    • pp.992-995
    • /
    • 2003
  • A haptic device operated by the user's hand can receive information on position and orientation of the hand and display force and moment generated in the virtual environment to the hand. For realistic haptic display, the detailed information on collision between objects is necessary. In the past, the point-based graphic environment has been used in which the end effector of a haptic device was represented as a point and the interaction of this point with the virtual environment was investigated. In this paper, the shape-based graphic environment is proposed in which the interaction of the shape with the environment is considered to analyze collision or contact more accurately. To this end. the so-called Gilbert-Johnson-Keerthi (GJK) algorithm is adopted to compute collision points and collision instants between two shapes in the 3-D space. The 5- DOF haptic hand controller is used with the GJK algorithm to demonstrate a peg-in-hole operation in the virtual environment in conjunction with a haptic device. It is shown from various experiments that the shape-based representation with the GJK algorithm can provide more realistic haptic display for peg-in-hole operations.

  • PDF

Development of a Read-time Voice Dialing System Using Discrete Hidden Markov Models (이산 HM을 이용한 실시간 음성인식 다이얼링 시스템 개발)

  • Lee, Se-Woong;Choi, Seung-Ho;Lee, Mi-Suk;Kim, Hong-Kook;Oh, Kwang-Cheol;Kim, Ki-Chul;Lee, Hwang-Soo
    • The Journal of the Acoustical Society of Korea
    • /
    • v.13 no.1E
    • /
    • pp.89-95
    • /
    • 1994
  • This paper describes development of a real-time voice dialing system which can recognize around one hundred word vocabularies in speaker independent mode. The voice recognition algorithm in this system is implemented on a DSP board with a telephone interface plugged in an IBM PC AT/486. In the DSP board, procedures for feature extraction, vector quantization(VQ), and end-point detection are performed simultaneously in every 10 msec frame interval to satisfy real-time constraints after detecting the word starting point. In addition, we optimize the VQ codebook size and the end-point detection procedure to reduce recognition time and memory requirement. The demonstration system has been displayed in MOBILAB of the Korean Mobile Telecom at the Taejon EXPO'93.

  • PDF

Voice Activity Detection Method Using Psycho-Acoustic Model Based on Speech Energy Maximization in Noisy Environments (잡음 환경에서 심리음향모델 기반 음성 에너지 최대화를 이용한 음성 검출 방법)

  • Choi, Gab-Keun;Kim, Soon-Hyob
    • The Journal of the Acoustical Society of Korea
    • /
    • v.28 no.5
    • /
    • pp.447-453
    • /
    • 2009
  • This paper introduces the method for detect voices and exact end point at low SNR by maximizing voice energy. Conventional VAD (Voice Activity Detection) algorithm estimates noise level so it tends to detect the end point inaccurately. Moreover, because it uses relatively long analysis range for reflecting temporal change of noise, computing load too high for application. In this paper, the SEM-VAD (Speech Energy Maximization-Voice Activity Detection) method which uses psycho-acoustical bark scale filter banks to maximize voice energy within frames is introduced. Stable threshold values are obtained at various noise environments (SNR 15 dB, 10 dB, 5 dB, 0 dB). At the test for voice detection in car noisy environment, PHR (Pause Hit Rate) was 100%accurate at every noise environment, and FAR (False Alarm Rate) shows 0% at SNR15 dB and 10 dB, 5.6% at SNR5 dB and 9.5% at SNR0 dB.

A User-friendly Remote Speech Input Method in Spontaneous Speech Recognition System

  • Suh, Young-Joo;Park, Jun;Lee, Young-Jik
    • The Journal of the Acoustical Society of Korea
    • /
    • v.17 no.2E
    • /
    • pp.38-46
    • /
    • 1998
  • In this paper, we propose a remote speech input device, a new method of user-friendly speech input in spontaneous speech recognition system. We focus the user friendliness on hands-free and microphone independence in speech recognition applications. Our method adopts two algorithms, the automatic speech detection and the microphone array delay-and-sum beamforming (DSBF)-based speech enhancement. The automatic speech detection algorithm is composed of two stages; the detection of speech and nonspeech using the pitch information for the detected speech portion candidate. The DSBF algorithm adopts the time domain cross-correlation method as its time delay estimation. In the performance evaluation, the speech detection algorithm shows within-200 ms start point accuracy of 93%, 99% under 15dB, 20dB, and 25dB signal-to-noise ratio (SNR) environments, respectively and those for the end point are 72%, 89%, and 93% for the corresponding environments, respectively. The classification of speech and nonspeech for the start point detected region of input signal is performed by the pitch information-base method. The percentages of correct classification for speech and nonspeech input are 99% and 90%, respectively. The eight microphone array-based speech enhancement using the DSBF algorithm shows the maximum SNR gaing of 6dB over a single microphone and the error reductin of more than 15% in the spontaneous speech recognition domain.

  • PDF

A New Adaptive Echo Canceller with an Improved Convergence Speed and NET Detection Performance (향상된 수렴속도와 근달화자신호 검출능력을 갖는 적응반향제기기)

  • 김남선;박상택;차용훈;윤일화;윤대희
    • Journal of the Korean Institute of Telematics and Electronics B
    • /
    • v.30B no.12
    • /
    • pp.12-20
    • /
    • 1993
  • In a conventional adaptive echo canceller, an ADF(Adaptive Digital Filter) with TDL(Tapped-Delay Line) structure modelling the echo path uses the LMS(Least Mean Square) algorithm to compute the coefficients, and NET detector using energy comparison method prevents the ADF to update the coefficients during the periods of the NET signal presence. The convergence speed of the LMS algorithm depends on the eigenvalue spread ratio of the reference signal and NET detector using the energy comparison method yields poor detection performance if the magnitude of the NET signal is small. This paper presents a new adaptive echo canceller which uses the pre-whitening filter to improve the convergence speed of the LMS algorithm. The pre-whitening filter is realized by using a low-order lattice predictor. Also, a new NET signal detection algorithm is presented, where the start point of the NET signal is detected by computing the cross-correlation coefficient between the primary input and the ADF output while the end point is detected by using the energy comparison method. The simulation results show that the convergence speed of the proposed adaptive echo canceller is faster than that of the conventional echo canceller and the cross-correlation coefficient yields more accurate detection of the start point of the NET signal.

  • PDF

Speaker Verification System Based on HMM Robust to Noise Environments (잡음환경에 강인한 HMM기반 화자 확인 시스템에 관한 연구)

  • 위진우;강철호
    • The Journal of the Acoustical Society of Korea
    • /
    • v.20 no.7
    • /
    • pp.69-75
    • /
    • 2001
  • Intra-speaker variation, noise environments, and mismatch between training and test conditions are the major reasons for the speaker verification system unable to use it practically. In this study, we propose robust end-point detection algorithm, noise cancelling with the microphone property compensation technique, and inter-speaker discriminate technique by weighting cepstrum for robust speaker verification system. Simulation results show that the average speaker verification rate is improved in the rate of 17.65% with proposed end-point detection algorithm using LPC residue and is improved in the rate of 36.93% with proposed noise cancelling and microphone property compensation algorithm. The proposed weighting function for discriminating inter-speaker variations also improves the average speaker verification rate in the rate of 6.515%.

  • PDF

A Study of Data correction method when in-situ end point detection in Chemical-Mechanical Polishing of Copper Overlay (구리 박막 CMP의 실시간 end point detection을 위한 데이터 정밀도 개선 방법에 관한 연구)

  • Kim, Nam-Woo;Hur, Chang-Wu
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.18 no.6
    • /
    • pp.1401-1406
    • /
    • 2014
  • Knowledge of the manufacturing process of semiconductor devices in order to obtain a copper pattern using chemical mechanical polishing (CMP) planarization using a Wafer polishing process is applied with a thickness of the copper measured in real time, which need to be precisely controlled by, where the acquisition the actual thickness of the sensor value with the calculated value in terms of error can occur in the process. Approximated the actual measurement values so as to obtain a method using a simple average, moving average, compared to the results using filters onggo Strom real-time measurements of the thickness of the units of the control system to reduce the variation in the implementation of the method described for the.

A Study of End Point Detection Measurement for STI-CMP Applications (STI-CMP 공정 적용을 위한 연마 정지점 고찰)

  • 이경태;김상용;김창일;서용진;장의구
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.07a
    • /
    • pp.90-93
    • /
    • 2000
  • In this study, the rise throughput and the stability in fabrication of device can be obtained by applying of CMP process to STI structure in 0.18um semiconductor device. To employ in STI CMP, the reverse moat process has been added thus the process became complex and the defects were seriously increased. Removal rates of each thin films in STI CMP was not equal hence the devices must to be effected, that is, the damage was occured in the device dimension in the case of excessive CMP process and the nitride film was remained on the device dimension in the case of insufficient CMP process than these defects affect the device characteristics. To resolve these problems, the development of slurry for CMP with high removal rate and high selectivity between each thin films was studied then it can be prevent the reasons of many defects by reasons of many defects by simplification of process that directly apply CMP process to STI structure without the reverse moat pattern process.

  • PDF