• Title/Summary/Keyword: Edge termination

Search Result 29, Processing Time 0.037 seconds

Reverse voltage characteristics of 4H SiC Schottky Diode by Edge termination Method (4H 탄화규소 쇼트키 다이오드에서 접합종단기법에 따른 항복전압특성)

  • Cheong, Hui-Jong;Bahng, Wook;Kang, In-Ho;Kim, Sang-Cheol;Han, Hyeon-Sook;Kim, Nam-Kyun;Lee, Yong-Jae
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.191-192
    • /
    • 2005
  • The reverse breakdown voltages of 4H-SiC SBD(schottky barrier diode)s with FP(Field Plate) and/or FLR(Field Limiting Ring) as a edge termination, were investigated. The breakdown voltages of SBDs with FP ware investigated varying the overlap width from $1{\mu}m$ to $30{\mu}m$. The maximum average breakdown voltages was 475V. There is no significant changes for the devices with overlap width of between $5{\mu}m\sim30{\mu}m$. It was confirmed that the dielectric breakdown of the thin thermal oxide is main cause of device failure. However, the breakdown voltage of SBD with FLR was 1400V even though the FLR edge termination structure was not optimized.

  • PDF

A Study of SiC Trench Schottky Diode with Tilt-Implantation for Edge Termination (Edge Termination을 위해 Tilt-Implantation을 이용한 SiC Trench Schottky Diode에 대한 연구)

  • Song, Gil-Yong;Kim, Kwang-Soo
    • Journal of IKEEE
    • /
    • v.18 no.2
    • /
    • pp.214-219
    • /
    • 2014
  • In this paper, the usage of tilt-implanted trench Schottky diode(TITSD) based on silicon carbide is proposed. A tilt-implanted trench termination technique modified for SiC is proposed as a method to keep all the potentials confined in the trench insulator when reverse blocking mode is operated. With the side wall doping concentration of $1{\times}10^{19}cm^{-3}$ nitrogen, the termination area of the TITSD is reduced without any sacrifice in breakdown voltage while potential is confined within insulator. When the trench depth is set to 11um and the width is optimized, a breakdown voltage of 2750V is obtained and termination area is 38.7% smaller than that of other devices which use guard rings for the same breakdown voltage. A Sentaurus device simulator is used to analyze the characteristics of the TITSD. The performance of the TITSD is compared to the conventional trench Schottky diode.

The Analysis of the Breakdown Voltage according to the Change of JTE Structures and Design Parameters of 4H-SiC Devices (4H-SiC 소자의 JTE 구조 및 설계 조건 변화에 따른 항복전압 분석)

  • Koo, Yoon-Mo;Cho, Doo-Hyung;Kim, Kwang-Soo
    • Journal of IKEEE
    • /
    • v.19 no.4
    • /
    • pp.491-499
    • /
    • 2015
  • Silicon Carbide(SiC) has large advantage in high temperature and high voltage applications because of its high thermal conductivity and large band gap energy. When using SiC to design power semiconductor devices, edge termination techniques have to be adjusted for its maximum breakdown voltage characteristics. Many edge termination techniques have been proposed, and the most appropriate technique for SiC device is Junction Termination Extension(JTE). In this paper, the change of breakdown voltage efficiency ratio according to the change of doping concentration and passivation oxide charge of each JTE techniques is demonstrated. As a result, the maximum breakdown voltage ratio of Single Zone JTE(SZ-JTE), Double Zone JTE(DZ-JTE), Multiple Floating Zone JTE(MFZ-JTE), and Space Modulated JTE(SM-JTE) is 98.24%, 99.02%, 98.98%, 99.22% each. MFZ-JTE has the smallest and SZ-JTE has the largest sensitivity of breakdown voltage ratios according to the change of JTE doping concentration. Additionally the degradation of breakdown voltage due to the passivation oxide charge is analyzed, and the sensitivity is largest in SZ-JTE and smallest in MFZ-JTE, too. In this paper, DZ-JTE and SM-JTE is the best efficiency JTE techniques than MFZ-JTE which needs large doping concentration in short JTE width.

Design of Main Body and Edge Termination of 100 V Class Super-junction Trench MOSFET

  • Lho, Young Hwan
    • Journal of IKEEE
    • /
    • v.22 no.3
    • /
    • pp.565-569
    • /
    • 2018
  • For the conventional power MOSFET (metal-oxide semiconductor field-effect transistor) device structure, there exists a tradeoff relationship between specific on-state resistance (Ron,sp) and breakdown voltage (BV). In order to overcome this tradeoff, a super-junction (SJ) trench MOSFET (TMOSFET) structure with uniform or non-uniform doping concentration, which decreases linearly in the vertical direction from the N drift region at the bottom to the channel at the top, for an optimal design is suggested in this paper. The on-state resistance of $0.96m{\Omega}-cm2$ at the SJ TMOSFET is much less than that at the conventional power MOSFET under the same breakdown voltage of 100V. A design methodology for the edge termination is proposed to achieve the same breakdown voltage and on-state resistance as the main body of the super-junction TMOSFET by using of the SILVACO TCAD 2D device simulator, Atlas.

Reverse Characteristics of Field Plate Edge Terminated SiC Schottky Diode with $SiO_2$ formed Various Methods (산화막 형성 방법에 따른 전계판 구조 탄화규소 쇼트키 다이오드의 역전압 특성)

  • Bahng, W.;Cheong, H.J.;Kim, N.K.;Kim, S.C.;Seo, K.S.;Kim, H.W.;Cheong, K.Y.;Kim, E.D.
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07a
    • /
    • pp.409-412
    • /
    • 2004
  • Edge termination technique is essential fer the fabrication of high volage devices. A proper edge termination technique is also needed in the fabrication of Silicon Carbide power devices for obtaining a stable high blocking voltage properties. Among the many techniques, the field plate formation is the easiest one that can utilize it for commercial usage. The growth of thick thermal oxide is difficult for SiC, however. In this paper, 6A grade SiC schottky barrier diodes(SBD) were fabricated with field plate edge termination. The oxides which is field plate were formed various methods such as dry oxidation, 10% $N_2O$ nitrided oxidation and PECVD deposition. The reverse characteristics of the SiC SBD with various oxide field plate were investigated.

  • PDF

The Research of Deep Junction Field Ring using Trench Etch Process for Power Device Edge Termination

  • Kim, Yo-Han;Kang, Ey-Goo;Sung, Man-Young
    • Journal of IKEEE
    • /
    • v.11 no.4
    • /
    • pp.235-238
    • /
    • 2007
  • The planar edge termination techniques of field-ring and deep junction field-ring were investigated and optimized using a two-dimensional device simulator TMA MEDICI. By trenching the field ring site which would be implanted, a better blocking capability can be obtained. The results show that the p-n junction with deep junction field-ring can accomplish near 30% increase of breakdown voltage in comparison with the conventional field-rings. The deep junctionfield-rings are easy to design and fabricate and consume same area but they are relatively sensitive to surface charge. Extensive device simulations as well as qualitative analyses confirm these conclusions.

  • PDF

A Study on Field Ring Design of 600 V Super Junction Power MOSFET (600 V급 Super Junction MOSFET을 위한 Field Ring 설계의 관한 연구)

  • Hong, Young-Sung;Jung, Eun-Sik;Kang, Ey-Goo
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.25 no.4
    • /
    • pp.276-281
    • /
    • 2012
  • Power semiconductor devices are widely used as high voltage applications to inverters and motor drivers, etc. The blocking voltage is one of the most important parameters for power semiconductor devices. Generally most of field effect concentrations shows on the edge of power devices. Can be improve the breakdown characteristic using edge termination technology. In this paper, considering the variables that affect the breakdown voltage and optimization of parameters result for 600 V Super Junction MOSFET Field ring.

Study on Electrical Characteristics According Process Parameters of Field Plate for Optimizing SiC Shottky Barrier Diode

  • Hong, Young Sung;Kang, Ey Goo
    • Transactions on Electrical and Electronic Materials
    • /
    • v.18 no.4
    • /
    • pp.199-202
    • /
    • 2017
  • Silicon carbide (SiC) is being spotlighted as a next-generation power semiconductor material owing to the characteristic limitations of the existing silicon materials. SiC has a wider band gap, higher breakdown voltage, higher thermal conductivity, and higher saturation electron mobility than those of Si. When using this material to implement Schottky barrier diode (SBD) devices, SBD-state operation loss and switching loss can be greatly reduced as compared to that of traditional Si. However, actual SiC SBDs exhibit a lower dielectric breakdown voltage than the theoretical breakdown voltage that causes the electric field concentration, a phenomenon that occurs on the edge of the contact surface as in conventional power semiconductor devices. Therefore in order to obtain a high breakdown voltage, it is necessary to distribute the electric field concentration using the edge termination structure. In this paper, we designed an edge termination structure using a field plate structure through oxide etch angle control, and optimized the structure to obtain a high breakdown voltage. We designed the edge termination structure for a 650 V breakdown voltage using Sentaurus Workbench provided by IDEC. We conducted field plate experiments. under the following conditions: $15^{\circ}$, $30^{\circ}$, $45^{\circ}$, $60^{\circ}$, and $75^{\circ}$. The experimental results indicated that the oxide etch angle was $45^{\circ}$ when the breakdown voltage characteristics of the SiC SBD were optimized and a breakdown voltage of 681 V was obtained.

Fabrication of a Au/Ni/Ti/3C-SiC Schottky Diode and its Characteristics for High-voltages (고내압용 Au/Ni/Ti/3C-SiC 쇼트키 다이오드의 제작과 그 특성)

  • Shim, Jae-Cheol;Chung, Gwiy-Sang
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.24 no.4
    • /
    • pp.261-265
    • /
    • 2011
  • This paper describes the fabrication and characteristics of a Au/Ni/Ti/3C-SiC Schottky diode with field plate (FP) edge termination. The Schottky contacts were annealed for 30 min at temperatures ranging from 0 to $800^{\circ}C$. At annealing temperature of $600^{\circ}C$, it showed an inhomogeneous Schottky barrier and had the best electrical characteristics. However, the annealing of $800^{\circ}C$ replaced it with ohmic behaviors because of the formation of many different types of nickel silicides. The fabricated Schottky diode had a breakdown voltage of 200 V, Schottky barrier height of 1.19 eV and worked normally even at $200^{\circ}C$.

High-Voltage 4H-SiC pn diode with Field Limiting Ring Termination (Field Limiting Ring termination을 이용한 고전압 4H-SiC pn 다이오드)

  • Song, G.H.;Bahng, W.;Kim, H.W.;Kim, N.K.
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.396-399
    • /
    • 2003
  • 4H-SiC un diodes with field limiting rings(FLRs) were fabricated and characterized. The dependences of reverse breakdown voltage on the number of FLRs, the distance between p-base main junction and first FLR, and activation temperatures, were investigated. Al and B ions were implanted and activated at high temperature to form p-base region and p+ region in the n-epilayer. We have obtained up to 1782V of reverse breakdown voltage in the un diode with two FLRs on loom thick epilayer. The differential on-resistances of the fabricated diode are $5.3m{\Omega}cm^2$ at $100A/cm^2$ and $2.7m{\Omega}cm^2$ at $1kA/cm^2$, respectively. All pn diodes with FLRs have higher avalanche breakdown voltages than that of diode without an FLR. Regardless of the activation temperature, the un diode with a FLR located 5um apart from main junction has the highest mean breakdown voltage around 1600V among the diodes with one ring. On the other hand, the pn diode with two rings showed different behavior with activation temperature. It reveals that high voltage SiC pn diodes with low on-resistance can be fabricated by using the FLR edge termination.

  • PDF