• Title/Summary/Keyword: EUV mask

Search Result 18, Processing Time 0.019 seconds

Imaging Performance of the Dependence of EUV Pellicle Transmittance (EUV 펠리클 투과도에 따른 이미지 전사 특성 분석)

  • Woo, Dong Gon;Kim, Jung Hwan;Kim, Jung Sik;Hong, Seoungchul;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.15 no.3
    • /
    • pp.35-39
    • /
    • 2016
  • Extreme Ultraviolet Lithography (EUVL) is the most promising technique in the field of Next Generation Lithography (NGL) expected to be used in the 1x-nm node for High Volume Manufacturing (HVM). But there exits remaining challenges for proper defect control of EUV mask. It was considered development of EUV pellicle for protecting the EUV mask has many obstacles due to high extinction coefficient of EUV wavelength. Recently researchers in the industry of semiconductor argue about the necessity of EUV pellicle and make effort to achieve it. In this paper, we investigated that the relationship between imaging performance and transmittance of EUV pellicle quantitatively. We made in-house EUV pellicle and analyzed its imaging performance of the dependence of pellicle transmittance using Coherent Scattering Microscopy(CSM). The imaging performance of EUV mask with pellicle is affected by its transmittance and we found that the performance of EUV mask improved with higher transmittance pellicle.

Evaluation on the Relationship between Mask Imaging Performance and Standoff Distance of EUV Pellicle (EUV pellicle의 standoff 거리에 따른 이미지 전사 특성 평가)

  • Woo, Dong Gon;Hong, Seongchul;Kim, Jung Sik;Cho, Hanku;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.15 no.1
    • /
    • pp.22-26
    • /
    • 2016
  • Extreme ultraviolet (EUV) pellicle is one of the most concerned research in the field of EUV lithography (EUVL). Imaging performance of EUV mask with pellicle should be investigated prior to high volume manufacturing (HVM) of EUVL. In this paper, we analyzed the relationship between standoff distance and imaging performance of EUV mask to verify the influences of relative standoff distance on imaging performance. As a result, standoff distance of EUV pellicle has no effect on imaging performance of EUV mask such as critical dimension (CD), normalized image log slope (NILS) and image contrast. Therefore, pellicle support structure can be flexibly designed and modified in diverse ways to complement the thermal limitation of EUV pellicle membrane.

Refilled mask structure for Minimizing Shadowing Effect on EUV Lithography

  • Ahn, Jin-Ho;Shin, Hyun-Duck;Jeong, Chang-Young
    • Journal of the Semiconductor & Display Technology
    • /
    • v.9 no.4
    • /
    • pp.13-18
    • /
    • 2010
  • Extreme ultraviolet (EUV) lithography using 13.5 nm wavelengths is expected to be adopted as a mass production technology for 32 nm half pitch and below. One of the new issues introduced by EUV lithography is the shadowing effect. Mask shadowing is a unique phenomenon caused by using mirror-based mask with an oblique incident angle of light. This results in a horizontal-vertical (H-V) biasing effect and ellipticity in the contact hole pattern. To minimize the shadowing effect, a refilled mask is an available option. The concept of refilled mask structure can be implemented by partial etching into the multilayer and then refilling the trench with an absorber material. The simulations were carried out to confirm the possibility of application of refilled mask in 32 nm line-and-space pattern under the condition of preproduction tool. The effect of sidewall angle in refilled mask is evaluated on image contrast and critical dimension (CD) on the wafer. We also simulated the effect of refilled absorber thickness on aerial image, H-V CD bias, and overlapping process window. Finally, we concluded that the refilled absorber thickness for minimizing shadowing effect should be thinner than etched depth.

Defect Inspection of Extreme Ultra-Violet Lithography Mask (극자외선 리소그래피용 마스크의 결함 검출)

  • Yi Moon-Suk
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.43 no.8 s.350
    • /
    • pp.1-5
    • /
    • 2006
  • At-wavelength inspection system of extreme Ultra-violet lithography was developed and the inspection results were compared with the optical mask inspection system by cross correlation experiments. In at-wavelength EUV mask inspection system, a raster scan of focused euv light is used to illuminate euv light to mask blank and specularly and non-specularly reflected euv light are detected by photo diode and microchannel plate. The cross correlation results between at-wavelength inspection tool and optical inspection tool shows strong correlation. Far-field scattering fringe pattern from programmed phase and opqque defect, which were detected by phosphor plate and CCD camera shows that distinct diffraction fringes were observed with fringe spacing dependent on the defect size.

Modeling and Simulation of Line Edge Roughness for EUV Resists

  • Kim, Sang-Kon
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.14 no.1
    • /
    • pp.61-69
    • /
    • 2014
  • With the extreme ultraviolet (EUV) lithography, the performance limit of chemically amplified resists has recently been extended to 16- and 11-nm nodes. However, the line edge roughness (LER) and the line width roughness (LWR) are not reduced automatically with this performance extension. In this paper, to investigate the impacts of the EUVL mask and the EUVL exposure process on LER, EUVL is modeled using multilayer-thin-film theory for the mask structure and the Monte Carlo (MC) method for the exposure process. Simulation results demonstrate how LERs of the mask transfer to the resist and the exposure process develops the resist LERs.

EUV Lithography Blank Mask Repair using a FIB

  • 채교석;김석구;김신득;안정훈;박재근
    • Proceedings of the Korean Society Of Semiconductor Equipment Technology
    • /
    • 2004.05a
    • /
    • pp.129-131
    • /
    • 2004
  • 극자외선 리소그래피(EUV lithography) 기술은 50nm 이하의 선폭을 가지는 차세대 소자 제작에 있어서 선도적인 기술 중 하나이다. EUVL 에서 필수적인 요소중의 하나가 mirror 로 사용되는 blank mask 이다. Blank mask 에 있어서 가장 중요한 요소는 반사도이다. 이 blank mask 는 Si substrate 위에 반사를 위한 Mo/Si pair 가 40pair 이상 적층되어있다. Blank mask 는 매우 청결해야한다. 만약 결함이 있다면 blank mask 에는 치명적이다 결함은 blank mask 에 있어서 반사도를 떨어뜨리는 주 요소이기 때문이다. 그 결함에는 amplitude defect 과 phase defect 이 있다. FIB 에서는 amplitude defect 을 수정하는 것이 가능하다. 우리는 FIB 를 이용하여 mage mode, spot mode, bar rotation mode 를 사용하여 amplitude defect을 수정하였다. 그리고, 그 결과 효과적으로 amplitude defect을 수정하였다.

  • PDF

Nano-cleaning of EUV Mask Using Amphoterically Electrolyzed Ion Water (화학양면성의 전해이온수를 이용한 극자외선 마스크의 나노세정)

  • Ryoo, Kun-kul;Jung, Youn-won;Choi, In-sik;Kim, Hyung-won;Choi, Byung-sun
    • Journal of the Semiconductor & Display Technology
    • /
    • v.20 no.2
    • /
    • pp.34-42
    • /
    • 2021
  • Recent cleaning technologies of mask in extremely ultraviolet semiconductor processes were reviewed, focused on newly developed issues such as particle size determination or hydrocarbon and tin contaminations. In detail, critical particle size was defined and proposed for mask cleaning where nanosized particles and its various shapes would result in surface atomic ratio increase vigorously. A new cleaning model also was proposed with amphoteric behavior of electrolytically ionized water which had already shown excellent particle removing efficiency. Having its non-equilibrium and amphoteric properties, electrolyzed ion water seemed to oxidize contaminant surface selectively in nano-scale and then to lift up oxidized ones from mask surface very effectively. This assumption should be further investigated in future in junction with hydrogen bonding and cluster of water molecules.

Manufacturing Large-scale SiNx EUV Pellicle with Water Bath (물중탕을 이용한 대면적 SiNx EUV 펠리클 제작)

  • Kim, Jung Hwan;Hong, Seongchul;Cho, Hanku;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.15 no.1
    • /
    • pp.17-21
    • /
    • 2016
  • EUV (Extreme Ultraviolet) pellicle which protects a mask from contamination became a critical issue for the application of EUV lithography to high-volume manufacturing. However, researches of EUV pellicle are still delayed due to no typical manufacturing methods for large-scale EUV pellicle. In this study, EUV pellicle membrane manufacturing method using not only KOH (potassium hydroxide) wet etching process but also a water bath was suggested for uniform etchant temperature distribution. KOH wet etching rates according to KOH solution concentration and solution temperature were confirmed and proper etch condition was selected. After KOH wet etching condition was set, $5cm{\times}5cm$ SiNx (silicon nitride) pellicle membrane with 80% EUV transmittance was successfully manufactured. Transmittance results showed the feasibility of wet etching method with water bath as a large-scale EUV pellicle manufacturing method.

Manufacturing SiNx Extreme Ultraviolet Pellicle with HF Wet Etching Process (HF 습식 식각을 이용한 극자외선 노광 기술용 SiNx)

  • Kim, Ji Eun;Kim, Jung Hwan;Hong, Seongchul;Cho, HanKu;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.14 no.3
    • /
    • pp.7-11
    • /
    • 2015
  • In order to protect the patterned mask from contamination during lithography process, pellicle has become a critical component for Extreme Ultraviolet (EUV) lithography technology. According to EUV pellicle requirements, the pellicle should have high EUV transmittance and robust mechanical property. In this study, silicon nitride, which is well-known for its remarkable mechanical property, was used as a pellicle membrane material to achieve high EUV transmittance. Since long silicon wet etching process time aggravates notching effect causing stress concentration on the edge or corner of etched structure, the remaining membrane is prone to fracture at the end of etch process. To overcome this notching effect and attain high transmittance, we began preparing a rather thick (200 nm) $SiN_x$ membrane which can be stably manufactured and was thinned into 43 nm thickness with HF wet etching process. The measured EUV transmittance shows similar values to the simulated result. Therefore, the result shows possibilities of HF thinning processes for $SiN_x$ EUV pellicle fabrication.

Mechanical Stability Evaluation of Thin Film with Spin-coater (스핀코터를 이용한 박막의 기계적 안정성 평가)

  • Kim, Ji Eun;Kim, Jung Hwan;Hong, Seongchul;Cho, HanKu;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.15 no.1
    • /
    • pp.6-11
    • /
    • 2016
  • For high volume manufacturing using extreme ultraviolet (EUV) lithography, mask protection from contamination during lithography process must be solved, and EUV pellicle is the strongest solution. Based on the technical requirements of EUV pellicle, EUV pellicle should have large membrane area ($110{\times}140mm^2$) with film transmittance over 90% and mechanical stability. Even though pellicle that satisfies size standard with high transmittance has been reported, its mechanical stability has not been confirmed, nor is there a standard to evaluate the mechanical stability. In this study, we suggest a rather simple method evaluating mechanical stability of pellicle membrane using spin-coater which can emulate the linear accelerated motion. The test conditions were designed by simulating the acceleration distribution inside pellicle membrane through correlating the linear acceleration and centripetal acceleration, which occurs during linear movement and rotation movement, respectively. By these simulation results, we confirmed the possibility of using spin-coater to evaluate the mechanical stability of EUV pellicle.