• Title/Summary/Keyword: Damascene Process

Search Result 45, Processing Time 0.023 seconds

Ferroelectric characteristics of PZT capacitors fabricated by using chemical mechanical polishing process with change of process parameters (화학적기계적연마 공정으로 제조한 PZT 캐패시터의 공정 조건에 따른 강유전 특성 연구)

  • Jun, Young-Kil;Jung, Pan-Gum;Ko, Pil-Ju;Kim, Nam-Hoon;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.66-66
    • /
    • 2007
  • Lead zirconate titanate (PZT) is one of the most attractive perovskite-type materials for ferroelectric random access memory (FRAM) due to its higher remanant polarization and the ability to withstand higher coercive fields. We first applied the damascene process using chemical mechanical polishing (CMP) to fabricate the PZT thin film capacitor to solve the problems of plasma etching including low etching profile and ion charging. The $0.8{\times}0.8\;{\mu}m$ square patterns of silicon dioxide on Pt/Ti/$SiO_2$/Si substrate were coated by sol-gel method with the precursor solution of PZT. Damascene process by CMP was performed to pattern the PZT thin film with the vertical sidewall and no plasma damage. The polarization-voltage (P-V) characteristics of PZT capacitors and the current-voltage characteristics (I-V) were examined by change of process parameters. To examine the CMP induced damage to PZT capacitor, the domain structure of the polished PZT thin film was also investigated by piezoresponse force microscopy (PFM).

  • PDF

Chemical Mechanical Polishing Characteristics of BTO Thin Film for Vertical Sidewall Patterning of High-Density Memory Capacitor (고집적 메모리 커패시터의 Vertical Sidewall Patterning을 위한 BTO 박막의 CMP 특성)

  • Ko, Pil-Ju;Park, Sung-Woo;Lee, Kang-Yeon;Lee, Woo-Sun;Seo, Yong-Jin
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.55 no.3
    • /
    • pp.116-121
    • /
    • 2006
  • Most high-k materials are well known not to be etched easily, Some problems such as low etch rate poor sidewall angle, plasma damage, and process complexity were emerged from the high-density DRAM fabrication. Chemical mechanical polishing (CMP) by a damascene process was proposed to pattern this high-k material was polished with some commercial silica slurry as a function of pH variation. Sufficient removal rate with adequate selectivity to realize the pattern mask of tera-ethyl ortho-silicate (TEOS) film for the vertical sidewall angle were obtained. The changes of X-ray diffraction pattern and dielectric constant by CMP process were negligible. The planarization was also achieved for the subsequent multi-level processes. Our new CMP approach will provide a guideline for effective patterning of high-k material by CMP technique.

Cu Metallization for Giga Level Devices Using Electrodeposition (전해 도금을 이용한 기가급 소자용 구리배선 공정)

  • Kim, Soo-Kil;Kang, Min-Cheol;Koo, Hyo-Chol;Cho, Sung-Ki;Kim, Jae-Jeong;Yeo, Jong-Kee
    • Journal of the Korean Electrochemical Society
    • /
    • v.10 no.2
    • /
    • pp.94-103
    • /
    • 2007
  • The transition of interconnection metal from aluminum alloy to copper has been introduced to meet the requirements of high speed, ultra-large scale integration, and high reliability of the semiconductor device. Since copper, which has low electrical resistivity and high resistance to degradation, has different electrical and material characteristics compared to aluminum alloy, new related materials and processes are needed to successfully fabricate the copper interconnection. In this review, some important factors of multilevel copper damascene process have been surveyed such as diffusion barrier, seed layer, organic additives for bottom-up electro/electroless deposition, chemical mechanical polishing, and capping layer to introduce the related issues and recent research trends on them.

A study on electrical and mechanical properties and press formability of a Cu/Ag composite sheet (Cu/Ag 복합판재의 전기/기계적 성질 및 프레스 성형성에 관한 연구)

  • Shin, Je-Sik
    • Design & Manufacturing
    • /
    • v.6 no.1
    • /
    • pp.95-100
    • /
    • 2012
  • In this study, a novel Cu composite sheet with embedded high electric conduction path was developed as another alternative for the interconnect materials possessing high electrical conductivity as well as high strength. The Cu composite sheet was fabricated by forming Ag conduction paths not within the interior but on the surface of a high strength Cu substrate by damascene electroplating process. As a result, the electrical conductivity increased by 40% thanks to mesh type Ag conduction paths, while the ultimate tensile strength decreased by 20%. The interfacial fracture resistance of Cu composite sheet prepared by damascene electroplating increased by above 50 times compared to Cu composite sheet by conventional electroplating. For feasibility test for practical application, a leadframe for LED module was manufactured by a progressive blanking and piercing processes, and the blanked surface profile was evaluated as a function of the volume fraction of Ag conduction paths. As Ag conduction path became finer, pressing formability improved.

  • PDF

A Study on the Optimized Copper Electrochemical Plating in Dual Damascene Process

  • Yoo, Hae-Young;Chang, Eui-Goo;Kim, Nam-Hoon
    • Transactions on Electrical and Electronic Materials
    • /
    • v.6 no.5
    • /
    • pp.225-228
    • /
    • 2005
  • In this work, we studied the optimized copper thickness in Cu ECP (Electrochemical Plating). In order to select an optimized Cu ECP thickness, we examined Cu ECP bulge (bump, hump or over-plating amount), Cu CMP dishing and electrical properties of via hole and line trench over dual damascene patterned wafers split into different ECP Cu thickness. In the aspect of bump and dishing, the bulge increased according as target plating thickness decreased. Dishing of edge was larger than center of wafer. Also in case of electrical property, metal line resistance distribution became broad gradually according as Cu ECP thickness decreased. In conclusion, at least $20\%$ reduced Cu ECP thickness from current baseline; $0.8\;{\mu}m$ and $1.0\;{\mu}m$ are suitable to be adopted as newly optimized Cu ECP thickness for local and intermediate layer.

Study on the Effects of Corrosion Inhibitor According to the Functional Groups for Cu Chemical Mechanical Polishing in Neutral Environment (중성 영역 구리 화학적 기계적 평탄화 공정에서의 작용기에 따른 부식방지제의 영향성 연구)

  • Lee, Sang Won;Kim, Jae Jeong
    • Korean Chemical Engineering Research
    • /
    • v.53 no.4
    • /
    • pp.517-523
    • /
    • 2015
  • As the aluminum (Al) metallization process was replaced with copper (Cu), the damascene process was introduced, which required the planarization step to eliminate over-deposited Cu with Chemical Mechanical Polishing (CMP) process. In this study, the verification of the corrosion inhibitors, one of the Cu CMP slurry components, was conducted to find out the tendency regarding the carboxyl and amino functional group in neutral environment. Through the results of etch rate, removal rate, and chemical ability of corrosion inhibitors based on 1H-1,2,4-triazole as the base-corrosion inhibitor, while the amine functional group presents high Cu etching ability, carboxyl functional group shows lower Cu etching ability than base-corrosion inhibitor which means that it increases passivation effect by making strong passivation layer. It implies that the corrosion inhibitor with amine functional group was proper to apply for 1st Cu CMP slurry owing to the high etch rate and with carboxyl functional group was favorable for the 2nd Cu CMP slurry due to the high Cu removal rate/dissolution rate ratio.

Low Voltage Program/Erase Characteristics of Si Nanocrystal Memory with Damascene Gate FinFET on Bulk Si Wafer

  • Choe, Jeong-Dong;Yeo, Kyoung-Hwan;Ahn, Young-Joon;Lee, Jong-Jin;Lee, Se-Hoon;Choi, Byung-Yong;Sung, Suk-Kang;Cho, Eun-Suk;Lee, Choong-Ho;Kim, Dong-Won;Chung, Il-Sub;Park, Dong-Gun;Ryu, Byung-Il
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.6 no.2
    • /
    • pp.68-73
    • /
    • 2006
  • We propose a damascene gate FinFET with Si nanocrystals implemented on bulk silicon wafer for low voltage flash memory device. The use of optimized SRON (Silicon-Rich Oxynitride) process allows a high degree of control of the Si excess in the oxide. The FinFET with Si nanocrystals shows high program/erase (P/E) speed, large $V_{TH}$ shifts over 2.5V at 12V/$10{\mu}s$ for program and -12V/1ms for erase, good retention time, and acceptable endurance characteristics. Si nanocrystal memory with damascene gate FinFET is a solution of gate stack and voltage scaling for future generations of flash memory device. Index Terms-FinFET, Si-nanocrystal, SRON(Si-Rich Oxynitride), flash memory device.

Electrodeposition for the Fabrication of Copper Interconnection in Semiconductor Devices (반도체 소자용 구리 배선 형성을 위한 전해 도금)

  • Kim, Myung Jun;Kim, Jae Jeong
    • Korean Chemical Engineering Research
    • /
    • v.52 no.1
    • /
    • pp.26-39
    • /
    • 2014
  • Cu interconnection in electronic devices is fabricated via damascene process including Cu electrodeposition. In this review, Cu electrodeposition and superfilling for fabricating Cu interconnection are introduced. Superfilling results from the influences of organic additives in the electrolyte for Cu electrodeposition, and this is enabled by the local enhancement of Cu electrodeposition at the bottom of filling feature formed on the wafer through manipulating the surface coverage of organic additives. The dimension of metal interconnection has been constantly reduced to increase the integrity of electronic devices, and the width of interconnection reaches the range of few tens of nanometer. This size reduction raises the issues, which are the deterioration of electrical property and the reliability of Cu interconnection, and the difficulty of Cu superfilling. The various researches on the development of organic additives for the modification of Cu microstructure, the application of pulse and pulse-reverse electrodeposition, Cu-based alloy superfilling for improvement of reliability, and the enhancement of superfilling phenomenon to overcome the current problems are addressed in this review.

Color Evolution in Single Crystal Colored Cubic Zirconias With Annealing Atmosphere and Temperature

  • Song, Jeongho;Noh, Yunyoung;Song, Ohsung
    • Journal of the Korean Ceramic Society
    • /
    • v.53 no.4
    • /
    • pp.450-455
    • /
    • 2016
  • Color change in single-crystal, yellow, red, purple, and colorless cubic zirconias (CZs) was investigated as a function of annealing in vacuum and air atmosphere at $800-1400^{\circ}C$ for 30 min, for development of a damascene process of plugging a precious metal paste at the elevated temperature. Coloring-element contents of the CZs were evaluated using WD-XRF, and the color change determined visually by naked eye, and using a digital camera and UV-Vis-NIR color analyzer. WD-XRF showed that all of the CZs had cubic-phase stabilizer elements and coloring elements. All CZs that underwent vacuum annealing exhibited a slight color change at $<900^{\circ}C$, while their colors began to change to black at $1100^{\circ}C$, and became opaque black at $1400^{\circ}C$. After air annealing, there was almost no color change up to $1400^{\circ}C$. Since red and purple CZs showed greater color difference (CD) values than the others, the degree of CD is likely to depend on the original color of the CZ due to the different stabilities of their coloring elements during annealing. Based on our results, it is suggested that annealing in air at $<900^{\circ}C$ is advantageous, and assorted colored CZs can be used for precious metal damascene.

Antiglycation and antioxidant activity of four Iranian medical plant extracts

  • Safari, Mohammad Reza;Azizi, Omid;Heidary, Somayeh Sadat;Kheiripour, Nejat;Ravan, Alireza Pouyandeh
    • Journal of Pharmacopuncture
    • /
    • v.21 no.2
    • /
    • pp.82-89
    • /
    • 2018
  • Objective: Diabetes mellitus (DM) is the most common metabolic disorder that defined by chronic hyperglycemia for the deficiency in insulin secretion or resistance. Hyperglycemia could induce non-enzymatic glycation of proteins. It has been suggested that some traditional plants can improve blood glucose and inhibit glycation process. This work evaluates and compares the anti-glycation activities of four Iranian plant extracts in vitro. Methods: The methanolic extract of "Fumaria officinalis, Stachys lavandulifolia, Salvia hydrangea and Rosa Damascene" was prepared in three different concentrations. Phenolic, flavonoids content and antioxidant activity were evaluated. The multistage glycation markers- fructosamines (early stage), protein carbonyls (intermediate stage) and ${\beta}$ aggregation of albumin were investigated in the bovine serum albumin (BSA)/ glucose systemt. Results: All plants showed the high potency of scavenging free radicals and glycation inhibition in the following order: Fumaria officinalis> Rosa Damascene> Stachys lavandulifolia > Salvia hydrangea. There was a significant correlation between antioxidant and anti-glycation activity. Also, the antioxidant and anti-glycation capacity of extracts correlated with total phenolic and flavonoids content. Conclusion: Our findings demonstrated that the studied plants are good sources of anti-glycation and antioxidant compounds and, these properties can primarily attributable to phenolics, particularly flavonoids.