• 제목/요약/키워드: Colloidal silica slurry

검색결과 33건 처리시간 0.028초

구리 CMP 적용을 위한 산성 콜로이드 실리카를 포함한 준무연마제 슬러리 연구 (A Study on Semi Abrasive Free Slurry including Acid Colloidal Silica for Copper Chemical Mechanical Planarization)

  • 김남훈;김상용;서용진;김태형;장의구
    • 한국전기전자재료학회논문지
    • /
    • 제17권3호
    • /
    • pp.272-277
    • /
    • 2004
  • The primary aim of this study is to investigate new semi-abrasive free slurry including acid colloidal silica and hydrogen peroxide for copper chemical-mechanical planarization (CMP). In general, slurry for copper CMP consists of colloidal silica as an abrasive, organic acid as a complex-forming agent, hydrogen peroxide as an oxidizing agent, a film forming agent, a pH control agent and several additives. We developed new semi-abrasive free slurry (SAFS) including below 0.5% acid colloidal silica. We evaluated additives as stabilizers for hydrogen peroxide as well as accelerators in tantalum nitride CMP process. We also estimated dispersion stability and Zeta potential of the acid colloidal silica with additives. The extent of enhancement in tantalum nitride CMP was verified through anelectrochemical test. This approach may be useful for the application of single and first step copper CMP slurry with one package system.

사파이어 웨이퍼 CMP 공정 신뢰성 향상을 위한 혼합 나노실리카 콜로이달 슬러리 (Mixed Nano Silica Colloidal Slurry for Reliability Improvement of Sapphire Wafer CMP Process)

  • 정찬홍
    • 한국신뢰성학회지:신뢰성응용연구
    • /
    • 제14권1호
    • /
    • pp.11-19
    • /
    • 2014
  • A colloidal silica slurry has been manufactured by mixing nano silica powders having different grain size to improve the reliability of Sapphire wafer CMP process. The main reliability problem of CMP process such as the breaking of wafer can be prevented by reducing the size of particles in a slurry. While existing commercial colloidal silica slurries are usually made of single grain size silica powder of about 120nm, in the present study 40nm and 100nm silica powders are mixed to achieve a similar removal rate. The new colloidal silica slurry showed wafer removal rate of $3.04{\mu}m/120min$ while that of a commercial colloidal silica slurry was $3.03{\mu}m/120min$. The roughness was less than $4{\AA}$ and scratch was 0. It is also expected that the reduction of the size of nano silica particles can improve the dispersion stability and prolong the useful life of the slurry.

준 무연마제 슬러리를 아용한 Cu CMP 연구 (Study on Cu CMP by using Semi-Abrasive Free Slurry)

  • 김남훈;임종흔;엄준철;김상용;김창일;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 춘계학술대회 논문집 센서 박막재료 반도체 세라믹
    • /
    • pp.158-161
    • /
    • 2003
  • The primary aim of this study is to investigate new semi-abrasive free slurry including acid colloidal silica and hydrogen peroxide for copper chemical-mechanical planarization (CMP). In general, slurry for copper CMP consists of colloidal silica as an abrasive, organic acid as a complex-forming agent, hydrogen peroxide as an oxidizing agent, a film forming agent, a pH control agent and several additives. We developed new semi-abrasive free slurry (SAFS) including below 0.5% acid colloidal silica. We evaluated additives as stabilizers for hydrogen peroxide as well as accelerators in tantalum nitride CMP process. We also estimated dispersion stability and Zeta potential of the acid colloidal silica with additives. The extent of enhancement in tantalum nitride CMP was verified through anelectrochemical test. This approach may be useful for the application of single and first step copper CMP slurry with one package system.

  • PDF

화학기계적연마 공정에서 미소 스크래치 저발생화를 위한 가공기술 연구 (Study on Chemical Mechanical Polishing for Reduction of Micro-Scratch)

  • 김성준;안유민;백창욱;김용권
    • 한국정밀공학회지
    • /
    • 제19권8호
    • /
    • pp.134-140
    • /
    • 2002
  • Chemical mechanical polishing of aluminum and photoresist using colloidal silica-based slurry was experimented. The effects of slurry pH, silica concentration, and oxidizer ($H_2O_2$) concentration on surface roughness and removal rate were studied. The optimum slurry conditions for reduction of micro-scratch were investigated. The optimum chemical mechanical polishing with the colloidal silica-based slurry was compared with conventional chemical mechanical polishing with alumina-based slurry. Chemical mechanical polishing of the aluminum with the colloidal silica-based slurry showed improved result but chemical mechanical polishing of the photoresist did not. The improved result was comparative with that of chemical mechanical polishing with filtered alumina-based slurry which one of desirable methods to reduce the micro-scratch.

균일한 입도분포를 가진 큰 입자(120nm)로 구성된 친환경적인 반도체 연마제용 Colloidal Silica 개발 (Development of Uniform sized(120nm) and Pro-environmental Colloidal Silica Slurry for CMP process)

  • 정석조;변정환;배선윤;박철진;김창훈;조굉래
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 추계학술대회 논문집 Vol.17
    • /
    • pp.129-131
    • /
    • 2004
  • 전 세계적으로 반도체 연마제용으로 silica를 많이 사용하고 있으며, 주로 fumed silica 및 colloidal silica로 구분되어진다. 반도체 연마제로서의 가장 중요한 요소는 연마율, defect 및 uniformity 등이 있으며, 현재 defect 및 uniformity는 많은 연구개발을 통하여 증진되었지만 반도체 생산량과 직접 관련된 연마율을 증가시키는 기술은 화학약품 및 slurry의 농도 증가로만 가능하다. 이에 연마제의 전반적인 기능을 상승시켜 기존보다 연마율은 높이고, 결함율을 낮추며, 120nm 이상의 입자크기를 제조하여도 근일한 입도 분포도를 나타내어주고, 장기간 안정하게 사용가능하고, 친환경적인 반도체 연마제를 개발하였다.

  • PDF

연마 Recycling 시간에 따른 콜로이드 실리카 슬러리의 안정성 및 연마속도 (Effect of Recycling Time on Stability of Colloidal Silica Slurry and Removal Rate in Silicon Wafer Polishing)

  • 최은석;배소익
    • 한국세라믹학회지
    • /
    • 제44권2호
    • /
    • pp.98-102
    • /
    • 2007
  • The stability of slurry and removal rate during recycling of colloidal silica slurry was evaluated in silicon wafer polishing. The particle size distribution, pH, and zeta potential were measured to investigate the stability of colloidal silica. Large particles appeared as recycling time increased while average size of slurry did not change. Large particles were identified by EDS(energy dispersive spectrometer) as foreign substances from pad or abraded silicon flakes during polishing. As the recycling time increased, pH of slurry decreased and removal rate of silicon reduced but zeta potential decreased inversely. Hence, it could be mentioned that decrease of removal rate is related to consumption of $OH^-$ ions during recycling. Attention should be given to the control of pH of slurry during polishing.

pH level 및 slurry 입도가 langasite wafer의 chemical mechanical planarization에 미치는 영향 (Effect of pH level and slurry particle size on the chemical mechanical planarization of langasite crystal wafer)

  • 조현
    • 한국결정성장학회지
    • /
    • 제15권1호
    • /
    • pp.34-38
    • /
    • 2005
  • Langasite 단결정 wafer의 chemical mechanical planarization 공정에서 pH level 및 slurry 입도가 가공속도 및 평탄화도에 미치는 영향을 조사하였다. 낮은 pH level 조건하에서 더 높은 가공속도 값이 얻어진 반면에 평탄화도는 colloidal silica slurry의 평균입경에 의해 좌우됨을 확인하였다. 0.045 ㎛의 비정질 silica 입자를 함유한 슬러리를 사용하였을 때 표면에 잔류 scratch 형성이 없이 가장 좋은 가공성을 확보할 수 있었다. 가공속도와 평탄화도는 effective particle number에 대한 강한 의존성을 나타내었으며, effective particle number가 낮은 조건하에서 가공속도는 더 낮은 분포를 나타내었으나 평탄화도는 더 우수한 경향성을 확인하였다.

연마제 특성에 따른 차세대 금속배선용 Al CMP (chemical mechanical planarization) 슬러리 평가 (Evaluation of Al CMP Slurry based on Abrasives for Next Generation Metal Line Fabrication)

  • 차남구;강영재;김인권;김규채;박진구
    • 한국재료학회지
    • /
    • 제16권12호
    • /
    • pp.731-738
    • /
    • 2006
  • It is seriously considered using Al CMP (chemical mechanical planarization) process for the next generation 45 nm Al wiring process. Al CMP is known that it has a possibility of reducing process time and steps comparing with conventional RIE (reactive ion etching) method. Also, it is more cost effective than Cu CMP and better electrical conductivity than W via process. In this study, we investigated 4 different kinds of slurries based on abrasives for reducing scratches which contributed to make defects in Al CMP. The abrasives used in this experiment were alumina, fumed silica, alkaline colloidal silica, and acidic colloidal silica. Al CMP process was conducted as functions of abrasive contents, $H_3PO_4$ contents and pressures to find out the optimized parameters and conditions. Al removal rates were slowed over 2 wt% of slurry contents in all types of slurries. The removal rates of alumina and fumed silica slurries were increased by phosphoric acid but acidic colloidal slurry was slightly increased at 2 vol% and soon decreased. The excessive addition of phosphoric acid affected the particle size distributions and increased scratches. Polishing pressure increased not only the removal rate but also the surface scratches. Acidic colloidal silica slurry showed the highest removal rate and the lowest roughness values among the 4 different slurry types.

실리카 입자의 형상과 표면 특성이 산화막 CMP에 미치는 영향 (Effect of shape and surface properties of hydrothermaled silica particles in chemical mechanical planarization of oxide film)

  • 정정환;임형미;김대성;백운규;이승호
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 추계학술대회 논문집 Vol.21
    • /
    • pp.161-161
    • /
    • 2008
  • The oxide film of silicon wafer has been mainly polished by fumed silica, colloidal silica or ceria slurry. Because colloidal silica slurry is uniform and highly dispersed composed of spherical shape particles, by which the oxide film polished remains to be less scratched in finishing polishing process. Even though the uniformity and spherical shape is advantage for reducing the scratch, it may also be the factor to decrease the removal rate. We have studied the correlation of silica abrasive particles and CMP characteristics by varying pH, down force, and table rotation rate in polishing. It was found that the CMP polishing is dependent on the morphology, aggregation, and the surface property of the silica particles.

  • PDF