• Title/Summary/Keyword: Chemical mechanical planarization

Search Result 231, Processing Time 0.025 seconds

A Study on Machining Characteristic Comparison of Blanket Wafer(TEOS) by CMP and Spin Etching (CMP와 Spin Etching에 의한 Blanket Wafer(TEOS) 가공 특성 비교에 관한 연구)

  • 김도윤;정해도;이은상
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2001.04a
    • /
    • pp.1068-1071
    • /
    • 2001
  • Recently, the minimum line width shows a tendancy to decrease and the multi-level to increase in semiconductor. Therefore, a planarization technique is needed, which chemical polishing(CMP) is considered as one of the most important process. CMP accomplishes a high polishing performance and a global planarization of high quality. But there are several defects in CMP such as microscratches, abrasive contaminations, and non-uniformity of polished wafer edges. Spin Etching can improve the defects of CMP. It uses abrasive-free chemical solution instead of slurry. Wafer rotates and chemical solution is simultaneously dispensed on a whole surface of the wafer. Thereby chemical reaction is occurred on the surface of wafer, material is removed. On this study, TEOS film is removed by CMP and Spin Etching, the results are estimated at a viewpoint of material removal rate(MRR) and within wafer non-uniformity(WIWNU).

  • PDF

Dependency of Planarization Efficiency on Crystal Characteristic of Abrasives in Nano Ceria Slurry for Shallow Trench Isolation Chemical Mechanical Polishing (STI CMP용 나노 세리아 슬러리에서 연마입자의 결정특성에 따른 평탄화 효율의 의존성)

  • Kang, Hyun-Goo;Takeo Katoh;Kim, Sung-Jun;Ungyu Paik;Park, Jea-Gun
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2003.11a
    • /
    • pp.65-65
    • /
    • 2003
  • Chemical mechanical polishing (CMP) is one of the most important processes in recent ULSI (Ultra Large Scale Integrated Circuit) manufacturing technology. Recently, ceria slurries with surfactant have recently been used in STI-CMP,[1] became they have high oxide-to-nitride removal selectivity and widen the processing margin The role of the abrasives, however, on the effect of planarization on STI-CMP is not yet clear. In this study, we investigated how the crystal characteristic affects the planarization efficiency of wafer surface with controlling crystallite size and poly crystalline abrasive size independently.

  • PDF

Optimization of Double Polishing Pad for STI-CMP Applications (STI-CMP 적용을 위한 이중 연마 패드의 최적화)

  • Park, Seong-U;Seo, Yong-Jin;Kim, Sang-Yong
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.51 no.7
    • /
    • pp.311-315
    • /
    • 2002
  • Chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD), inter-level dielectric (ILD) layers of multi-layer interconnections. In this paper, we studied the characteristics of polishing pad, which can apply shallow trench isolation (STI)-CMP process for global planarization of multi-level interconnection structure. Also, we investigated the effects of different sets of polishing pad, such as soft and hard pad. As an experimental result, hard pad showed center-fast type, and soft pad showed edge-fast type. Totally, the defect level has shown little difference, however, the counts of scratch was detected less than 2 on JR111 pad. Through the above results, we can select optimum polishing pad, so we can expect the improvements of throughput and device yield.

Planarization & Polishing of single crystal Si layer by Chemical Mechanical Polishing (화학적 기계 연마(CMP)에 의한 단결정 실리콘 층의 평탄 경면화에 관한 연구)

  • 이재춘;홍진균;유학도
    • Journal of the Korean Vacuum Society
    • /
    • v.10 no.3
    • /
    • pp.361-367
    • /
    • 2001
  • Recently, Chemical Mechanical Polishing(CMP) has become a leading planarization technique as a method for silicon wafer planarization that can meet the more stringent lithographic requirement of planarity for the future submicron device manufacturing. The SOI(Silicon On Insulator) wafer has received considerable attention as bulk-alternative wafer to improve the performance of semiconductor devices. In this paper, the objective of study is to investigate Material Removal Rate(MRR) and surface micro-roughness effects of slurry and pad in the CMP process. When particle size of slurry is increased, Material Removal rate increase. Surface micro-roughness is greater influenced by pad than by particle size of slurry. As a result of AM measurement, surface micro-roughness was improved from 27 $\AA$ Rms to 0.64 $\AA$Rms.

  • PDF

Development of point-of-use filter evaluation method using chemical mechanical planarization slurry (Chemical mechanical planarization 슬러리에 사용되는 point-of-use 필터의 평가 방법 개발)

  • Jang, Sunjae;Kulkarni, Atul;Kim, Hyeong-U;Kim, Taesung
    • Particle and aerosol research
    • /
    • v.12 no.4
    • /
    • pp.145-150
    • /
    • 2016
  • During the chemical mechanical planarization (CMP) process, slurry that comprises abrasive particles can directly affect the CMP performance and quality. Mainly, the large particles in the slurry can generate the defects on the wafer. Thus, many kinds of filters have been used in the CMP process to remove unwanted over-sized particles. Among these filters, the point-of-use (POU) filter is used just before the slurry is supplied onto the CMP pad. In the CMP research field, analysis of the POU filter has been relatively exceptional, and previous studies have not focused on the standardized filtration efficiency (FE) or filter performance. Furthermore, conventional evaluation methods of filter performance are not appropriate for POU filters, as the POU filter is not a membrane type, but is instead a depth type roll filter. In order to accurately evaluate the POU filter, slurry FE according to particle size was measured in this study. Additionally, a CMP experiment was conducted with filtered slurry to demonstrate the effects of filtered slurry on CMP performance. Depending on the flow rate and the filter retention size, the FE according to particle size was different. When the small and large particles have different FEs, the total filtration efficiency (TFE) can still have a similar value. For this reason, there is a need to measure the FE with respect to the particle size to verify the effects of the POU filter on the CMP process.

Dishing and Erosion in Chemical Mechanical Polishing of Electroplated Copper

  • Yoon, In-Ho;Ng, Sum Huan;Hight, Robert;Zhou, Chunhong;Higgs III, C. Fred;Yao, Lily;Danyluk, Steven
    • Proceedings of the Korean Society of Tribologists and Lubrication Engineers Conference
    • /
    • 2002.10b
    • /
    • pp.435-437
    • /
    • 2002
  • Polishing of copper, a process called copper chemical mechanical polishing, is a critical, intermediate step in the planarization of silicon wafers. During polishing, the electrodeposited copper films are removed by slurries: and the differential polishing rates between copper and the surrounding silicon dioxide leads to a greater removal of the copper. The differential polishing develops dimples and furrows; and the process is called dishing and erosion. In this work, we present the results of experiments on dishing and erosion of copper-CMP, using patterned silicon wafers. Results are analyzed for the pattern factors and properties of the copper layers. Three types of pads - plain, perforated, and grooved - were used for polishing. The effect of slurry chemistries and pad soaking is also reported.

  • PDF

Evaluation of Al CMP Slurry based on Abrasives for Next Generation Metal Line Fabrication (연마제 특성에 따른 차세대 금속배선용 Al CMP (chemical mechanical planarization) 슬러리 평가)

  • Cha, Nam-Goo;Kang, Young-Jae;Kim, In-Kwon;Kim, Kyu-Chae;Park, Jin-Goo
    • Korean Journal of Materials Research
    • /
    • v.16 no.12
    • /
    • pp.731-738
    • /
    • 2006
  • It is seriously considered using Al CMP (chemical mechanical planarization) process for the next generation 45 nm Al wiring process. Al CMP is known that it has a possibility of reducing process time and steps comparing with conventional RIE (reactive ion etching) method. Also, it is more cost effective than Cu CMP and better electrical conductivity than W via process. In this study, we investigated 4 different kinds of slurries based on abrasives for reducing scratches which contributed to make defects in Al CMP. The abrasives used in this experiment were alumina, fumed silica, alkaline colloidal silica, and acidic colloidal silica. Al CMP process was conducted as functions of abrasive contents, $H_3PO_4$ contents and pressures to find out the optimized parameters and conditions. Al removal rates were slowed over 2 wt% of slurry contents in all types of slurries. The removal rates of alumina and fumed silica slurries were increased by phosphoric acid but acidic colloidal slurry was slightly increased at 2 vol% and soon decreased. The excessive addition of phosphoric acid affected the particle size distributions and increased scratches. Polishing pressure increased not only the removal rate but also the surface scratches. Acidic colloidal silica slurry showed the highest removal rate and the lowest roughness values among the 4 different slurry types.

A study on the global planarization characteristics in end point stage for device wafers (다바이스 웨이퍼의 평탄화와 종점 전후의 평탄화 특성에 관한 연구)

  • 정해도;김호윤
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.34D no.12
    • /
    • pp.76-82
    • /
    • 1997
  • Chemical mechanical polishing (CMP) has become widely accepted for the planarization of multi-interconnect structures in semiconductor manufacturing. However, perfect planarization is not so easily ahieved because it depends on the pattern sensitivity, the large number of controllable process parameters, and the absence of a reliable process model, etc. In this paper, we realized the planarization of deposited oxide layers followed by metal (W) polishing as a replacement for tungsten etch-back process for via formation. Atomic force microscope (AFM) is used for the evaluation of pattern topography during CMP. As a result, AFM evaluation is very attractive compared to conventional methods for the measurment of planarity. mOreover, it will contribute to analyze planarization characteristics and establish CMP model.

  • PDF

Device Wafer의 평탄화와 AFM에 의한 평가

  • 김호윤;정해도
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 1996.11a
    • /
    • pp.167-171
    • /
    • 1996
  • Chemical mechanical polishing (CMP) has become widely accepted for the planarization of multi-interconnect structures in semiconductor manufacturing. However, perfect planarization is not so easily achieved because it depends on the pattern sensitivity, the large number of controllable process parameters, and the absence of a reliable process model, etc. In this paper, we realized the planarization of deposited oxide layers followed by metal (W) polishing as a replacement for tungsten etchback process for via formation. Atomic force microscope (AFM) is used for the evaluation of pattern topography during CMP. As a result, AFM evaluation is very attractive compared to conventional methods for the measurement of planarity. Moreover, it will contribute to analyze planarization characteristics and establish CMP model.

  • PDF

Mechanical Analysis on Uniformity in Copper Chemical Mechanical Planarization (Cu CMP에서의 연마 균일성에 관한 기계적 해석)

  • Lee, Hyun-Seop;Park, Boum-Young;Jeong, Hae-Do;Kim, Hyoung-Jae
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.20 no.1
    • /
    • pp.74-79
    • /
    • 2007
  • Most studies on copper Chemical Mechanical Planarization (CMP) have focused on material removal and its mechanisms. Although many studies have been conducted on the mechanism of Cu CMP, a study on uniformity in Cu CMP is still unknown. Since the aim of CMP is global and local planarization, the approach to various factors related to uniformity in Cu CMP is essential to elucidate the Cu CMP mechanism as well. The main purpose of the experiment reported here was to investigate and mechanically analyze the roles of slurry components in the formation of the uniformity in Cu CMP. In this paper, Cu CMP was performed using citric acid($C_{6}H_{8}O_{7}$), hydrogen peroxide($H_{2}O_{2}$), colloidal silica, and benzotriazole($BTA,\;C_{6}H_{4}N_{3}H$) as a complexing agent, an oxidizer, an abrasive, and a corrosion inhibitor, respectively. All the results of this study showed that within-wafer non-uniformity(WIWNU) of Cu CMP could be controlled by the contents of slurry components.