• 제목/요약/키워드: Ceria slurry

검색결과 56건 처리시간 0.023초

Ceria 입자 Oxide CMP에서의 연마 균일도 연구 (Investigation of Uniformity in Ceria based Oxide CMP)

  • 임종흔;이재동;홍창기;조한구;문주태
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 추계학술대회 논문집 Vol.17
    • /
    • pp.120-124
    • /
    • 2004
  • 본 연구는 Diluted Ceria 입자를 사용한 $SiO_2$(Oxide) CMP 현상에 대한 내용이다. Ceria Slurry의 경우 Silica Slurry와 비교하였을 때 Oxide Wafer 표면과 축합 화학반응을 일으키며 Chemistry Dominant한 CMP Mechanism을 따르고, Wafer Center Removal Rate(RR) Fast 의 특성을 가진다. Ceria Slurry의 문제점인 연마 불균일도를 해결하기 위해 Tribological System을 이용하였다. CMP Tribology는 Pad-Slurry 유막-Wafer의 System을 가지며 윤활막에 작용하는 마찰계수(COF)가 주요 인자이다. Tribology에 적용되는 Stribeck Curve를 통해 Slurry 윤활막의 두께(h) 정도를 예상할 수 있으며, 이 윤활막의 두께를 조절함으로써 Uniformity 향상이 가능하다. 이 Ceria Slurry CMP의 연마 불균일도를 향상시킬 수 있는 방법으로 pH 조절 및 점도 증가가 있다. Ceria 입자 CMP는 분산액의 pH 변화에 강한 작용을 받게 되며 PH5 근방에서 최적화된 Uniformity가 가능하다. 점도를 증가시키는 경우 유막 h가 증가하게 되어 Ceria Slurry의 유동이 균일 분포 상태에 가까워지며 Wafer Uniformity 향상이 가능하다.

  • PDF

산화막 CMP에서 세리아 입자의 패드 표면누적과 재료제거 관계 (Correlation between Ceria abrasive accumulation on pad surface and Material Removal in Oxide CMP)

  • 김영진;박범영;정해도
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.118-118
    • /
    • 2008
  • The oxide CMP has been applied to interlayer dielectric(ILD) and shallow trench isolation (STI) in chip fabrication. Recently the slurry used in oxide CMP being changed from silica slurry to ceria (cerium dioxide) slurry particularly in STI CMP, because the material selectivity of ceria slurry is better than material selectivity of silica slurry. Moreover, the ceria slurry has good a planarization efficiency, compared with silica slurry. However ceria abrasives make a material removal rate too high at the region of wafer center. Then we focuses on why profile of material removal rate is convex. The material removal rate sharply increased to 3216 $\AA$/min by $4^{th}$ run without conditioning. After $4^{th}$ run, material removal rate converged. Furthermore, profile became more convex during 12 run. And average material removal rate decreased when conditioning process is added to end of CMP process. This is due to polishing mechanism of ceria. Then the ceria abrasive remains at the pad, in particular remains more at wafer center contacted region of pad. The field emission scanning electron microscopy (FE-SEM) images showed that the pad sample in the wafer center region has a more ceria abrasive than in wafer outer region. The energy dispersive X-ray spectrometer (EDX) verified the result that ceria abrasive is deposited and more at the region of wafer center. Therefore, this result may be expected as ceria abrasives on pad surface causing the convex profile of material removal rate.

  • PDF

The Evaluation of Ceria Slurry for Blank Mask Polishing for Photo-lithography Process

  • 김혁민;권태영;조병준;박진구
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2011년도 춘계학술발표대회
    • /
    • pp.37.2-37.2
    • /
    • 2011
  • 반도체공정에서 Photo-lithography는 특정 광원을 사용하여 구현하고자 하는 패턴을 기판상에 형성하는 기술이다. 이러한 Photo-lithography 공정에서는 패턴이 형성되어 있는 마스크가 핵심적인 역할을 하며 반도체소자의 전체적인 성능을 결정한다. 이에 따라 Photo-lithography용 마스크에 사용되는 Blank 마스크는 Defect의 최소화 및 우수한 평탄도 등의 조건들이 요구되고 있다. 이러한 Blank 마스크 재료로 광원을 효율적으로 투과시키는 성질이 우수하고 다른 재료에 비해 열팽창계수가 작은 석영기판이 사용되고 있다. 석영 기반의 마스크는 UV Lithography에서 주로 사용되고 있으며 그 밖에 UV-NIL (Nano Imrpint Lithography), EUVL (Extreme Ultra Violet Lithography) 등에도 이용되고 있다. 석영기판을 가공하여 Blank 마스크로 제작하기 위해 석영기판의 Lapping/Polishing 등이 핵심기술이며 현재 일본에서 전량 수입에 의존하고 있어, 이에 대한 연구의 필요성이 절실한 상황이다. 본 연구에서는 Blank 마스크제작을 위한 석영기판의 Polishing 공정에 사용되는 Ceria Slurry의 특성 연구 및 이에 따른 연마평가를 실시하였으며 첨가제의 조건에 따른 pH/Viscosity/Stability 등의 물리적인 특성을 관찰하여 석영기판 Polishing에 효율적인 Ceria slurry의 최적조건을 도출했다. 또한, 조건에 따른 Slurry의 정확한 분석을 위해 Zeta Potential Analyzer를 이용하여 연마입자의 크기 및 Zeta Potential에 대한 평가를 실시한 후 연마제와 석영기판의 Interaction force를 측정하였다. 상기 실험에 의해 얻어진 최적화된 연마 공정 조건하에서 Ceria slurry를 사용하여 연마평가를 실시함으로써 Removal Rate/Roughness 등의 결과를 관찰하였다. 본 연구를 통해 반도체 photo mask 제작을 위한 Ceria slurry의 주요특성을 파악하고 석영기판의 Polishing에 효율적인 조건을 도출함으로써 Lithography 마스크를 효율적으로 제작할 수 있을 것으로 예상된다.

  • PDF

Dependence of Nanotopography Impact on Fumed Silica and Ceria Slurry Added with Surfactant for Shallow Trench Isolation Chemical Mechanical Polishing

  • Cho, Kyu-Chul;Jeon, Hyeong-Tag;Park, Jea-Gun
    • 한국재료학회지
    • /
    • 제16권5호
    • /
    • pp.308-311
    • /
    • 2006
  • The purpose of this study is to investigate the difference of the wafer nanotopography impact on the oxide-film thickness variation between the STI CMP using ceria slurry and STI CMP using fumed silica slurry. The nanotopography impact on the oxide-film thickness variation after STI CMP using ceria slurry is 2.8 times higher than that after STI CMP using fumed silica slurry. It is attributed that the STI CMP using ceria slurry follows non-Prestonian polishing behavior while that using fumed silica slurry follows Prestonian polishing behavior.

[Retraction]Size measurement and characterization of ceria nanoparticles using asymmetrical flow field-flow fractionation (AsFlFFF)

  • Kim, Kihyun;Choi, Seong-Ho;Lee, Seungho;Kim, Woonjung
    • 분석과학
    • /
    • 제32권5호
    • /
    • pp.173-184
    • /
    • 2019
  • As the size of semiconductors becomes smaller, it is necessary to perform high precision polishing of nanoscale. Ceria, which is generally used as an abrasive, is widely used because of its uniform quality, but its stability is not high because it has a high molecular weight and causes agglomeration and rapid precipitation. Such agglomeration and precipitation causes scratches in the polishing process. Therefore, it is important to accurately analyze the size distribution of ceria particles. In this study, a study was conducted to select dispersants useful for preventing coagulation and sedimentation of ceria. First, a dispersant was synthesized and a ceria slurry was prepared. The defoamer selection experiment was performed in order to remove the air bubbles which may occur in the production of ceria slurry. Dynamic light scattering (DLS) and asymmetrical flow field-flow fractionation (AsFlFFF) were used to determine the size distribution of ceria particles in the slurry. AsFlFFF is a technique for separating nanoparticles based on sequential elution of samples as in chromatography, and is a useful technique for determining the particle size distribution of nanoparticle samples. AsFlFFF was able to confirm the presence of a little quantities of large particles in the vicinity of 300 nm, which DLS can not detect, besides the main distribution in the range of 60-80 nm. AsFlFFF showed better accuracy and precision than DLS for particle size analysis of a little quantities of large particles such as ceria slurry treated in this study.

반도체 CMP 용 세리아 슬러리의 AMP 함량에 따른 분산안정성에 관한 연구 (Study on dispersion stability according to AMP content of CMP ceria slurry for semiconductor)

  • 황소희;임진아;김운중
    • 반도체공학회 논문지
    • /
    • 제2권2호
    • /
    • pp.1-9
    • /
    • 2024
  • 반도체 소자의 집적도는 높아져 왔으며 이는 더 작고 밀도가 높은 회로 및 소자를 제조하는 것을 의미한다. 이에 따라 다양한 층간 표면을 매끄럽게 유지하여 미세한 패턴을 형성하고 고밀도 회로를 안정적으로 제작하는데 평탄화 기술이 중요한 역할을 한다. 결과적으로 반도체에서의 CMP(chemical mechanical polishing) 공정은 다층 구조 소자를 만들기 위해서 반드시 필요한 공정이 되었다. 일반적으로 CMP 공정의 슬러리 조성은 세리아(ceria), 분산제(dispersant), 물(DI water) 이렇게 3 가지 성분이 균형을 이루는 것이 중요하다. 본 연구에서는 AMP(2-Amino-2-methyle-1-propanol) 함량을 달리한 양쪽성 계면활성제를 사용한 세리아 슬러리 안정성 연구를 수행하였다. 결과적으로 AMP 함량에 따라 카복실기(-COOH) 영향으로 pH 안정화 되었으며, 세리아 슬러리 응집현상이 발생하지 않았으며 분산 안정성 문제가 없는 것으로 확인되었다.

산화막 CMP 공정에서 슬러리 온도 변화에 따른 연마 특성 (Polishing Properties by Change of Slurry Temperature in Oxide CMP)

  • 고필주;박성우;김남훈;서용진;이우선
    • 한국전기전자재료학회논문지
    • /
    • 제18권3호
    • /
    • pp.219-225
    • /
    • 2005
  • To investigate the effects of slurry temperature on the chemical mechanical polishing(CMP) performance of oxide film with silica and ceria slurries, we have studied slurry properties as a function of different slurry temperature. Also, the effects of each input parameter of slurry on the oxide CMP characteristics were investigated. The pH showed a slight tendency of decrease, the conductivity in slurries showed an increased tendency, the mean particle size in slurry decreased, and the zeta potential of slurry decreased with temperature. The removal rates significantly increased and maintained at the specific levels over 4$0^{\circ}C$. The better surface morphology of oxide films could be obtained at 40 $^{\circ}C$ of silica slurry and at 90 $^{\circ}C$ of ceria slurry. It is found that the CMP performance of oxide film could be significantly improved or controlled by change of slurry temperature.

Effect of Particle Size of Ceria Coated Silica and Polishing Pressure on Chemical Mechanical Polishing of Oxide Film

  • Kim, Hwan-Chul;Lim, Hyung-Mi;Kim, Dae-Sung;Lee, Seung-Ho
    • Transactions on Electrical and Electronic Materials
    • /
    • 제7권4호
    • /
    • pp.167-172
    • /
    • 2006
  • Submicron colloidal silica coated with ceria were prepared by mixing of silica and nano ceria particles and modified by hydrothermal reaction. The polishing efficiency of the ceria coated silica slurry was tested over oxide film on silicon wafer. By changing the polishing pressure in the range of $140{\sim}420g/cm^2$ with the ceria coated silica slurries in $100{\sim}300nm$, rates, WIWNU and friction force were measured. The removal rate was in the order of 200, 100, and 300 nm size silica coated with ceria. It was known that the smaller particle size gives the higher removal rate with higher contact area in Cu slurry. In the case of oxide film, the indentation volume as well as contact area gives effect on the removal rate depending on the size of abrasives. The indentation volume increase with the size of abrasive particles, which results to higher removal rate. The highest removal rate in 200 nm silica core coated with ceria is discussed as proper combination of indentation and contact area effect.

기계.화학적인 연마에서 슬러리의 특성에 따른 나노토포그래피의 영향과 numerical시뮬레이션 (Effect of Slurry Characteristics on Nanotopography Impact in Chemical Mechanical Polishing and Its Numerical Simulation)

  • Takeo Katoh;Kim, Min-Seok;Ungyu Paik;Park, Jea-Gun
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2003년도 추계학술발표강연 및 논문개요집
    • /
    • pp.63-63
    • /
    • 2003
  • The nanotopography of silicon wafers has emerged as an important factor in the STI process since it affects the post-CMP thickness deviation (OTD) of dielectric films. Ceria slurry with surfactant is widely applied to STI-CMP as it offers high oxide-to-nitride removal selectivity. Aiming to control the nanotopography impact through ceria slurry characteristics, we examhed the effect of surfactant concentration and abrasive size on the nanotopography impact. The ceria slurries for this study were produced with cerium carbonate as the starting material. Four kinds of slurry with different size of abrasives were prepared through a mechanical treatment The averaged abrasive size for each slurry varied from 70 nm to 290 nm. An anionic organic surfactant was added with the concentration from 0 to 0.8 wt %. We prepared commercial 8 inch silicon wafers. Oxide Shu were deposited using the plasma-enhanced tetra-ethyl-ortho-silicate (PETEOS) method, The films on wafers were polished on a Strasbaugh 6EC. Film thickness before and after CMP was measured with a spectroscopic ellipsometer, ES4G (SOPRA). The nanotopogrphy height of the wafer was measured with an optical interferometer, NanoMapper (ADE Phase Shift)

  • PDF

나노 세리아 슬러리를 이용한 STI CMP에서 나노토포그라피 시뮬레이션 (Nanotopography Simulation of Shallow Trench Isolation Chemical Mechanical Polishing Using Nano Ceria Slurry)

  • 김민석;;강현구;박재근;백운규
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.1
    • /
    • pp.239-242
    • /
    • 2004
  • We investigated the nanotopography impact on the post-chemical mechanical polishing (post-CMP) oxide thickness deviation(OTD) of ceria slurry with a surfactant. Not only the surfactant but also the slurry abrasive size influenced the nanotopography impact. The magnitude of the post-CMP OTD increased with adding the surfactant in the case of smaller abrasives, but it did not increase in the case of larger abrasives, while the magnitudes of the nanotopography heights are all similar. We created a one-dimensional numercal simulation of the nanotopography impact by taking account of the non-Prestonian behavior of the slurry, and good agreement with experiment results was obtained.

  • PDF