• Title/Summary/Keyword: Ceria Slurry

Search Result 56, Processing Time 0.054 seconds

Investigation of Uniformity in Ceria based Oxide CMP (Ceria 입자 Oxide CMP에서의 연마 균일도 연구)

  • Lim, Jong-Heun;Lee, Jae-Dong;Hong, Chang-Ki;Cho, Han-Ku;Moon, Joo-Tae
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.11a
    • /
    • pp.120-124
    • /
    • 2004
  • 본 연구는 Diluted Ceria 입자를 사용한 $SiO_2$(Oxide) CMP 현상에 대한 내용이다. Ceria Slurry의 경우 Silica Slurry와 비교하였을 때 Oxide Wafer 표면과 축합 화학반응을 일으키며 Chemistry Dominant한 CMP Mechanism을 따르고, Wafer Center Removal Rate(RR) Fast 의 특성을 가진다. Ceria Slurry의 문제점인 연마 불균일도를 해결하기 위해 Tribological System을 이용하였다. CMP Tribology는 Pad-Slurry 유막-Wafer의 System을 가지며 윤활막에 작용하는 마찰계수(COF)가 주요 인자이다. Tribology에 적용되는 Stribeck Curve를 통해 Slurry 윤활막의 두께(h) 정도를 예상할 수 있으며, 이 윤활막의 두께를 조절함으로써 Uniformity 향상이 가능하다. 이 Ceria Slurry CMP의 연마 불균일도를 향상시킬 수 있는 방법으로 pH 조절 및 점도 증가가 있다. Ceria 입자 CMP는 분산액의 pH 변화에 강한 작용을 받게 되며 PH5 근방에서 최적화된 Uniformity가 가능하다. 점도를 증가시키는 경우 유막 h가 증가하게 되어 Ceria Slurry의 유동이 균일 분포 상태에 가까워지며 Wafer Uniformity 향상이 가능하다.

  • PDF

Correlation between Ceria abrasive accumulation on pad surface and Material Removal in Oxide CMP (산화막 CMP에서 세리아 입자의 패드 표면누적과 재료제거 관계)

  • Kim, Young-Jin;Park, Boum-Young;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.118-118
    • /
    • 2008
  • The oxide CMP has been applied to interlayer dielectric(ILD) and shallow trench isolation (STI) in chip fabrication. Recently the slurry used in oxide CMP being changed from silica slurry to ceria (cerium dioxide) slurry particularly in STI CMP, because the material selectivity of ceria slurry is better than material selectivity of silica slurry. Moreover, the ceria slurry has good a planarization efficiency, compared with silica slurry. However ceria abrasives make a material removal rate too high at the region of wafer center. Then we focuses on why profile of material removal rate is convex. The material removal rate sharply increased to 3216 $\AA$/min by $4^{th}$ run without conditioning. After $4^{th}$ run, material removal rate converged. Furthermore, profile became more convex during 12 run. And average material removal rate decreased when conditioning process is added to end of CMP process. This is due to polishing mechanism of ceria. Then the ceria abrasive remains at the pad, in particular remains more at wafer center contacted region of pad. The field emission scanning electron microscopy (FE-SEM) images showed that the pad sample in the wafer center region has a more ceria abrasive than in wafer outer region. The energy dispersive X-ray spectrometer (EDX) verified the result that ceria abrasive is deposited and more at the region of wafer center. Therefore, this result may be expected as ceria abrasives on pad surface causing the convex profile of material removal rate.

  • PDF

The Evaluation of Ceria Slurry for Blank Mask Polishing for Photo-lithography Process

  • Kim, Hyeok-Min;Gwon, Tae-Yeong;Jo, Byeong-Jun;Park, Jin-Gu
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2011.05a
    • /
    • pp.37.2-37.2
    • /
    • 2011
  • 반도체공정에서 Photo-lithography는 특정 광원을 사용하여 구현하고자 하는 패턴을 기판상에 형성하는 기술이다. 이러한 Photo-lithography 공정에서는 패턴이 형성되어 있는 마스크가 핵심적인 역할을 하며 반도체소자의 전체적인 성능을 결정한다. 이에 따라 Photo-lithography용 마스크에 사용되는 Blank 마스크는 Defect의 최소화 및 우수한 평탄도 등의 조건들이 요구되고 있다. 이러한 Blank 마스크 재료로 광원을 효율적으로 투과시키는 성질이 우수하고 다른 재료에 비해 열팽창계수가 작은 석영기판이 사용되고 있다. 석영 기반의 마스크는 UV Lithography에서 주로 사용되고 있으며 그 밖에 UV-NIL (Nano Imrpint Lithography), EUVL (Extreme Ultra Violet Lithography) 등에도 이용되고 있다. 석영기판을 가공하여 Blank 마스크로 제작하기 위해 석영기판의 Lapping/Polishing 등이 핵심기술이며 현재 일본에서 전량 수입에 의존하고 있어, 이에 대한 연구의 필요성이 절실한 상황이다. 본 연구에서는 Blank 마스크제작을 위한 석영기판의 Polishing 공정에 사용되는 Ceria Slurry의 특성 연구 및 이에 따른 연마평가를 실시하였으며 첨가제의 조건에 따른 pH/Viscosity/Stability 등의 물리적인 특성을 관찰하여 석영기판 Polishing에 효율적인 Ceria slurry의 최적조건을 도출했다. 또한, 조건에 따른 Slurry의 정확한 분석을 위해 Zeta Potential Analyzer를 이용하여 연마입자의 크기 및 Zeta Potential에 대한 평가를 실시한 후 연마제와 석영기판의 Interaction force를 측정하였다. 상기 실험에 의해 얻어진 최적화된 연마 공정 조건하에서 Ceria slurry를 사용하여 연마평가를 실시함으로써 Removal Rate/Roughness 등의 결과를 관찰하였다. 본 연구를 통해 반도체 photo mask 제작을 위한 Ceria slurry의 주요특성을 파악하고 석영기판의 Polishing에 효율적인 조건을 도출함으로써 Lithography 마스크를 효율적으로 제작할 수 있을 것으로 예상된다.

  • PDF

Dependence of Nanotopography Impact on Fumed Silica and Ceria Slurry Added with Surfactant for Shallow Trench Isolation Chemical Mechanical Polishing

  • Cho, Kyu-Chul;Jeon, Hyeong-Tag;Park, Jea-Gun
    • Korean Journal of Materials Research
    • /
    • v.16 no.5
    • /
    • pp.308-311
    • /
    • 2006
  • The purpose of this study is to investigate the difference of the wafer nanotopography impact on the oxide-film thickness variation between the STI CMP using ceria slurry and STI CMP using fumed silica slurry. The nanotopography impact on the oxide-film thickness variation after STI CMP using ceria slurry is 2.8 times higher than that after STI CMP using fumed silica slurry. It is attributed that the STI CMP using ceria slurry follows non-Prestonian polishing behavior while that using fumed silica slurry follows Prestonian polishing behavior.

[Retraction]Size measurement and characterization of ceria nanoparticles using asymmetrical flow field-flow fractionation (AsFlFFF)

  • Kim, Kihyun;Choi, Seong-Ho;Lee, Seungho;Kim, Woonjung
    • Analytical Science and Technology
    • /
    • v.32 no.5
    • /
    • pp.173-184
    • /
    • 2019
  • As the size of semiconductors becomes smaller, it is necessary to perform high precision polishing of nanoscale. Ceria, which is generally used as an abrasive, is widely used because of its uniform quality, but its stability is not high because it has a high molecular weight and causes agglomeration and rapid precipitation. Such agglomeration and precipitation causes scratches in the polishing process. Therefore, it is important to accurately analyze the size distribution of ceria particles. In this study, a study was conducted to select dispersants useful for preventing coagulation and sedimentation of ceria. First, a dispersant was synthesized and a ceria slurry was prepared. The defoamer selection experiment was performed in order to remove the air bubbles which may occur in the production of ceria slurry. Dynamic light scattering (DLS) and asymmetrical flow field-flow fractionation (AsFlFFF) were used to determine the size distribution of ceria particles in the slurry. AsFlFFF is a technique for separating nanoparticles based on sequential elution of samples as in chromatography, and is a useful technique for determining the particle size distribution of nanoparticle samples. AsFlFFF was able to confirm the presence of a little quantities of large particles in the vicinity of 300 nm, which DLS can not detect, besides the main distribution in the range of 60-80 nm. AsFlFFF showed better accuracy and precision than DLS for particle size analysis of a little quantities of large particles such as ceria slurry treated in this study.

Study on dispersion stability according to AMP content of CMP ceria slurry for semiconductor (반도체 CMP 용 세리아 슬러리의 AMP 함량에 따른 분산안정성에 관한 연구)

  • Sohee Hwang;JinA Lim;Woonjung Kim
    • Transactions on Semiconductor Engineering
    • /
    • v.2 no.2
    • /
    • pp.1-9
    • /
    • 2024
  • CMP (Chemical Mechanical Polishing) processes have become essential for creating multilayered component structures in semiconductor manufacturing. Typically, the slurry composition in CMP processes involves a balance of three components such as ceria, dispersant, and deionized water. In this study, we conducted research on the stability of ceria slurries using an amphoteric surfactant with controlled concentrations of AMP (2-Amino-2-methyl-1-propanol). The results indicated pH stabilization influenced by carboxylic (-COOH) groups depending on the AMP concentration. Additionally, there was no occurrence of aggregation in the ceria slurry, confirming the absence of dispersion stability issues.

Polishing Properties by Change of Slurry Temperature in Oxide CMP (산화막 CMP 공정에서 슬러리 온도 변화에 따른 연마 특성)

  • Ko, Pil-Ju;Park, Sung-Woo;Kim, Nam-Hoon;Seo, Yong-Jin;Lee, Woo-Sun
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.18 no.3
    • /
    • pp.219-225
    • /
    • 2005
  • To investigate the effects of slurry temperature on the chemical mechanical polishing(CMP) performance of oxide film with silica and ceria slurries, we have studied slurry properties as a function of different slurry temperature. Also, the effects of each input parameter of slurry on the oxide CMP characteristics were investigated. The pH showed a slight tendency of decrease, the conductivity in slurries showed an increased tendency, the mean particle size in slurry decreased, and the zeta potential of slurry decreased with temperature. The removal rates significantly increased and maintained at the specific levels over 4$0^{\circ}C$. The better surface morphology of oxide films could be obtained at 40 $^{\circ}C$ of silica slurry and at 90 $^{\circ}C$ of ceria slurry. It is found that the CMP performance of oxide film could be significantly improved or controlled by change of slurry temperature.

Effect of Particle Size of Ceria Coated Silica and Polishing Pressure on Chemical Mechanical Polishing of Oxide Film

  • Kim, Hwan-Chul;Lim, Hyung-Mi;Kim, Dae-Sung;Lee, Seung-Ho
    • Transactions on Electrical and Electronic Materials
    • /
    • v.7 no.4
    • /
    • pp.167-172
    • /
    • 2006
  • Submicron colloidal silica coated with ceria were prepared by mixing of silica and nano ceria particles and modified by hydrothermal reaction. The polishing efficiency of the ceria coated silica slurry was tested over oxide film on silicon wafer. By changing the polishing pressure in the range of $140{\sim}420g/cm^2$ with the ceria coated silica slurries in $100{\sim}300nm$, rates, WIWNU and friction force were measured. The removal rate was in the order of 200, 100, and 300 nm size silica coated with ceria. It was known that the smaller particle size gives the higher removal rate with higher contact area in Cu slurry. In the case of oxide film, the indentation volume as well as contact area gives effect on the removal rate depending on the size of abrasives. The indentation volume increase with the size of abrasive particles, which results to higher removal rate. The highest removal rate in 200 nm silica core coated with ceria is discussed as proper combination of indentation and contact area effect.

Effect of Slurry Characteristics on Nanotopography Impact in Chemical Mechanical Polishing and Its Numerical Simulation (기계.화학적인 연마에서 슬러리의 특성에 따른 나노토포그래피의 영향과 numerical시뮬레이션)

  • Takeo Katoh;Kim, Min-Seok;Ungyu Paik;Park, Jea-Gun
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2003.11a
    • /
    • pp.63-63
    • /
    • 2003
  • The nanotopography of silicon wafers has emerged as an important factor in the STI process since it affects the post-CMP thickness deviation (OTD) of dielectric films. Ceria slurry with surfactant is widely applied to STI-CMP as it offers high oxide-to-nitride removal selectivity. Aiming to control the nanotopography impact through ceria slurry characteristics, we examhed the effect of surfactant concentration and abrasive size on the nanotopography impact. The ceria slurries for this study were produced with cerium carbonate as the starting material. Four kinds of slurry with different size of abrasives were prepared through a mechanical treatment The averaged abrasive size for each slurry varied from 70 nm to 290 nm. An anionic organic surfactant was added with the concentration from 0 to 0.8 wt %. We prepared commercial 8 inch silicon wafers. Oxide Shu were deposited using the plasma-enhanced tetra-ethyl-ortho-silicate (PETEOS) method, The films on wafers were polished on a Strasbaugh 6EC. Film thickness before and after CMP was measured with a spectroscopic ellipsometer, ES4G (SOPRA). The nanotopogrphy height of the wafer was measured with an optical interferometer, NanoMapper (ADE Phase Shift)

  • PDF

Nanotopography Simulation of Shallow Trench Isolation Chemical Mechanical Polishing Using Nano Ceria Slurry (나노 세리아 슬러리를 이용한 STI CMP에서 나노토포그라피 시뮬레이션)

  • Kim, Min-Seok;Katoh, Takeo;Kang, Hyun-Goo;Park, Jea-Gun;Paik, Un-Gyu
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07a
    • /
    • pp.239-242
    • /
    • 2004
  • We investigated the nanotopography impact on the post-chemical mechanical polishing (post-CMP) oxide thickness deviation(OTD) of ceria slurry with a surfactant. Not only the surfactant but also the slurry abrasive size influenced the nanotopography impact. The magnitude of the post-CMP OTD increased with adding the surfactant in the case of smaller abrasives, but it did not increase in the case of larger abrasives, while the magnitudes of the nanotopography heights are all similar. We created a one-dimensional numercal simulation of the nanotopography impact by taking account of the non-Prestonian behavior of the slurry, and good agreement with experiment results was obtained.

  • PDF