• 제목/요약/키워드: CMP process

검색결과 468건 처리시간 0.027초

고집적 메모리 커패시터의 Vertical Sidewall Patterning을 위한 BTO 박막의 CMP 특성 (Chemical Mechanical Polishing Characteristics of BTO Thin Film for Vertical Sidewall Patterning of High-Density Memory Capacitor)

  • 고필주;박성우;이강연;이우선;서용진
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제55권3호
    • /
    • pp.116-121
    • /
    • 2006
  • Most high-k materials are well known not to be etched easily, Some problems such as low etch rate poor sidewall angle, plasma damage, and process complexity were emerged from the high-density DRAM fabrication. Chemical mechanical polishing (CMP) by a damascene process was proposed to pattern this high-k material was polished with some commercial silica slurry as a function of pH variation. Sufficient removal rate with adequate selectivity to realize the pattern mask of tera-ethyl ortho-silicate (TEOS) film for the vertical sidewall angle were obtained. The changes of X-ray diffraction pattern and dielectric constant by CMP process were negligible. The planarization was also achieved for the subsequent multi-level processes. Our new CMP approach will provide a guideline for effective patterning of high-k material by CMP technique.

구리 CMP 공정변수 최적화를 위한 실험계획법(DOE) 연구 (A Study on DOE Method to Optimize the Process Parameters for Cu CMP)

  • 최민호;김남훈;김상용;장의구
    • 한국전기전자재료학회논문지
    • /
    • 제18권1호
    • /
    • pp.24-29
    • /
    • 2005
  • Chemical mechanical polishing (CMP) has been widely accepted for the global planarization of multi-layer structures in semiconductor manufacturing. Copper has been the candidate metallization material for ultra-large scale integrated circuits (ULSIs), owing to its excellent electro-migration resistance and low electrical resistance. However, it still has various problems in copper CMP process. Thus, it is important to understand the effect of the process variables such as turntable speed, head speed, down force and back pressure are very important parameters that must be carefully formulated in order to achieve desired the removal rates and non-uniformity. Using a design of experiment (DOE) approach, this study was performed investigating the main effect of the variables and the interaction between the various parameters during CMP. A better understanding of the interaction behavior between the various parameters and the effect on removal rate, non-uniformity and ETC (edge to center) is achieved by using the statistical analysis techniques. In the experimental tests, the optimum parameters which were derived from the statistical analysis could be found for higher removal rate and lower non-uniformity through the above DOE results.

인공신경망을 활용한 CMP 컨디셔닝 시스템 설계 변수에 따른 컨디셔닝 밀도의 불균일도 분석 (Nonuniformity of Conditioning Density According to CMP Conditioning System Design Variables Using Artificial Neural Network)

  • 박병훈;이현섭
    • Tribology and Lubricants
    • /
    • 제38권4호
    • /
    • pp.152-161
    • /
    • 2022
  • Chemical mechanical planarization (CMP) is a technology that planarizes the surfaces of semiconductor devices using chemical reaction and mechanical material removal, and it is an essential process in manufacturing highly integrated semiconductors. In the CMP process, a conditioning process using a diamond conditioner is applied to remove by-products generated during processing and ensure the surface roughness of the CMP pad. In previous studies, prediction of pad wear by CMP conditioning has depended on numerical analysis studies based on mathematical simulation. In this study, using an artificial neural network, the ratio of conditioner coverage to the distance between centers in the conditioning system is input, and the average conditioning density, standard deviation, nonuniformity (NU), and conditioning density distribution are trained as targets. The result of training seems to predict the target data well, although the average conditioning density, standard deviation, and NU in the contact area of wafer and pad and all areas of the pad have some errors. In addition, in the case of NU, the prediction calculated from the training results of the average conditioning density and standard deviation can reduce the error of training compared with the results predicted through training. The results of training on the conditioning density profile generally follow the target data well, confirming that the shape of the conditioning density profile can be predicted.

BLT박막의 화학적기계적연마 공정시 패턴 크기에 따른 공정 특성 (Process Characteristics by Pattern Size in CMP Process of BLT Films)

  • 신상헌;이우선
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2006년도 추계학술대회 논문집 전기물성,응용부문
    • /
    • pp.107-108
    • /
    • 2006
  • In this work, we first applied the chemical mechanical polishing (CMP) process to the planarization of ferroelectric film in order to obtain a good planarity of electrode/ferroelectric film interface. $Bi_{3.25}La_{0.75}Ti_{3}O_{12}$ (BLT) ferroelectric film was fabricated by the sol-gel method. However, there have been serious problems in CMP in terms of repeatability and defects in patterned wafer. Especially, dishing & erosion defects increase the resistance because they decrease the interconnect section area, and ultimately reduce the lifetime of the semiconductor. Cross-sections of the wafer before and after CMP were examined by Scanning electron microscope(SEM). Process characteristics of non-dishing and erosion were investigated.

  • PDF

AE를 이용한 CMP 공정 감시에 관한 연구 (CMP process monitoring system using AE sensor)

  • 박선준;김성렬;박범영;이현섭;정해도
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 추계학술대회 논문집
    • /
    • pp.51-52
    • /
    • 2007
  • This paper compared wired Acoustic Emission (AE) signals with wireless AE signals. According to the material and process condition, each process signal has distinguishable characteristic to show each removal phenomenon. Therefore, wired and wireless AE sensors having different bandwidth are complementary for CMP process monitoring. Especially, the AE sensor was used to investigate abrasive and molecular-scale phenomena during CMP process, which was compatible to acquire high level frequency. In experiment, wireless AE system was used to get signals in rotary system, using bluetooth. But, it is possible to acquire only RMS signals, which can not analyze abrasive and molecular-sale phenomena. Second, wired AE system was installed using mercury slip-ring, which is suitable not only for rotation equipment but also for acquiring original signals. The acquired signals were analyzed by FFT for understanding of abrasive and molecular revel phenomena in CMP process, finally, we verified that two types of AE sensor with different bandwidth were complementary for CMP process monitoring.

  • PDF

STI(Shallow Trench Isolation) 공정에서 Torn Oxide Defect 해결에 관한 연구 (A Study for the Improvement of Torn Oxide Defect in STI(Shallow Trench Isolation)Process)

  • 김상용;서용진;김태형;이우선;정헌상;김창일;장의구
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1998년도 추계학술대회 논문집 학회본부 C
    • /
    • pp.723-725
    • /
    • 1998
  • STI CMP process are substituting gradually for LOCOS(Local Oxidation of Silicon) process to be available below sub-0.5um technology and to get planarized. The other hand, STI CMP process(especially STI CMP with RIE etch back process) has some kinds of defect like Nitride residue, Torn Oxide defect, etc. In this paper, we studied how to reduce Torn Oxide defects after STI CMP with RIE etch back process. Although Torn Oxide defects which occur on Oxide on Trench area is not deep and not sever, Torn oxide defects on Moat area is sometimes very deep and makes the yield loss. We did test on pattern wafers witch go through Trench process, APCVD process, and RIE etch back process by using an REC 472 polisher, IC1000/SUV A4 PAD and KOH base slurry to reduce the number of torn defects and to study what is the root causes of torn oxide defects.

  • PDF

Effect of Citric Acid in Cu Chemical Mechanical Planarization Slurry on Frictional Characteristics and Step Height Reduction of Cu Pattern

  • Lee, Hyunseop
    • Tribology and Lubricants
    • /
    • 제34권6호
    • /
    • pp.226-234
    • /
    • 2018
  • Copper chemical mechanical planarization (CMP) has become a key process in integrated circuit (IC) technology. The results of copper CMP depend not only on the mechanical abrasion, but also on the slurry chemistry. The slurry used for Cu CMP is known to have greater chemical reactivity than mechanical material removal. The Cu CMP slurry is composed of abrasive particles, an oxidizing agent, a complexing agent, and a corrosion inhibitor. Citric acid can be used as the complexing agent in Cu CMP slurries, and is widely used for post-CMP cleaning. Although many studies have investigated the effect of citric acid on Cu CMP, no studies have yet been conducted on the interfacial friction characteristics and step height reduction in CMP patterns. In this study, the effect of citric acid on the friction characteristics and step height reduction in a copper wafer with varying pattern densities during CMP are investigated. The prepared slurry consists of citric acid ($C_6H_8O_7$), hydrogen peroxide ($H_2O_2$), and colloidal silica. The friction force is found to depend on the concentration of citric acid in the copper CMP slurry. The step heights of the patterns decrease rapidly with decreasing citric acid concentration in the copper CMP slurry. The step height of the copper pattern decreases more slowly in high-density regions than in low-density regions.

CMP공정에 의한 실리케이트 산화막의 오염 최소화 (Minimum Pollution of Silicate Oxide in the CMP Process)

  • 이우선;김상용;최권우;조준호
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2000년도 영호남학술대회 논문집
    • /
    • pp.171-174
    • /
    • 2000
  • We have investigated the CMP slurry properties of silicate oxide thin films surface on CMP cleaning process. The metallic contaminations by CMP slurry were evaluated in four different oxide films, such as plasma enhanced tetra-ethyl-ortho-silicate glass(PE-TEOS), $O_3$ boro-phospho silicate giass( $O_3$-BPSG), PE-BPSG, and phospho-silicate glass(PSG). All films were polished with KOH-based slurry prior to entering the post-CMP cleaner. The Total X-Ray Fluorescence(TXRF) measurements showed that all oxide surfaces are heavily contaminated by potassium and calcium during polishing, which is due to a CMP slurry. The polished $O_3$-BPSG films presented higher potassium and calcium contaminations compared to PE-TEOS because of a mobile ions gettering ability of phosphorus. For PSG oxides, the slurry induced mobile ion contamination increased with an increase of phosphorus contents.

  • PDF

미세 표면 구조물을 갖는 패드의 제작 및 STI CMP 특성 연구 (Development of Microstructure Pad and Its Performances in STI CMP)

  • 정석훈;정재우;박기현;서현덕;박재홍;박범영;주석배;최재영;정해도
    • 한국전기전자재료학회논문지
    • /
    • 제21권3호
    • /
    • pp.203-207
    • /
    • 2008
  • Chemical mechanical polishing (CMP) allows the planarization of wafers with two or more materials. There are many elements such as slurry, polishing pad, process parameters and conditioning in CMP process. Especially, polishing pad is considered as one of the most important consumables because this affects its performances such as WIWNU(within wafer non-uniformity) and MRR(material removal rate). In polishing pad, grooves and pores on its surface affect distribution of slurry, flow and profile of MRR on wafer. A subject of this investigation is to apply CMP for planarization of shallow trench isolation structure using microstructure(MS) pad. MS pad is designed to have uniform structure on its surface and manufactured by micro-molding technology. And then STI CMP performances such as pattern selectivity, erosion and comer rounding are evaluated.