• Title/Summary/Keyword: CMP (Chemical Mechanical Polishing)

Search Result 429, Processing Time 0.025 seconds

Effect of Slurry Characteristics on Nanotopography Impact in Chemical Mechanical Polishing and Its Numerical Simulation (기계.화학적인 연마에서 슬러리의 특성에 따른 나노토포그래피의 영향과 numerical시뮬레이션)

  • Takeo Katoh;Kim, Min-Seok;Ungyu Paik;Park, Jea-Gun
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2003.11a
    • /
    • pp.63-63
    • /
    • 2003
  • The nanotopography of silicon wafers has emerged as an important factor in the STI process since it affects the post-CMP thickness deviation (OTD) of dielectric films. Ceria slurry with surfactant is widely applied to STI-CMP as it offers high oxide-to-nitride removal selectivity. Aiming to control the nanotopography impact through ceria slurry characteristics, we examhed the effect of surfactant concentration and abrasive size on the nanotopography impact. The ceria slurries for this study were produced with cerium carbonate as the starting material. Four kinds of slurry with different size of abrasives were prepared through a mechanical treatment The averaged abrasive size for each slurry varied from 70 nm to 290 nm. An anionic organic surfactant was added with the concentration from 0 to 0.8 wt %. We prepared commercial 8 inch silicon wafers. Oxide Shu were deposited using the plasma-enhanced tetra-ethyl-ortho-silicate (PETEOS) method, The films on wafers were polished on a Strasbaugh 6EC. Film thickness before and after CMP was measured with a spectroscopic ellipsometer, ES4G (SOPRA). The nanotopogrphy height of the wafer was measured with an optical interferometer, NanoMapper (ADE Phase Shift)

  • PDF

Improvement of Mixed Abrasive Slurry (MAS) Characteristics According to the Abrasive Adding (연마제 첨가량에 따른 Mixed Abrasive Slurry (MAS)의 CMP 특성 고찰)

  • Lee, Sung-Il;Lee, Young-Kyun;Park, Sung-Woo;Lee, Woo-Sun;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.11a
    • /
    • pp.380-381
    • /
    • 2006
  • Chemical mechanical polishing (CMP) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, the cost of ownership and cost of consumables are relatively high because of expensive slurry. In this paper, we studied the mixed abrasive slurry (MAS). In order to save the costs of slurry, the original silica slurry was diluted by de-ionized water (DIW). And then, $ZrO_2$, $CeO_2$, and $MnO_2$ abrasives were added in the diluted slurry in order to promote the mechanical force of diluted slurry. We have also investigate the possibility of mixed abrasive slurry for the oxide CMP application.

  • PDF

Effect of Particle Size of Ceria Coated Silica and Polishing Pressure on Chemical Mechanical Polishing of Oxide Film

  • Kim, Hwan-Chul;Lim, Hyung-Mi;Kim, Dae-Sung;Lee, Seung-Ho
    • Transactions on Electrical and Electronic Materials
    • /
    • v.7 no.4
    • /
    • pp.167-172
    • /
    • 2006
  • Submicron colloidal silica coated with ceria were prepared by mixing of silica and nano ceria particles and modified by hydrothermal reaction. The polishing efficiency of the ceria coated silica slurry was tested over oxide film on silicon wafer. By changing the polishing pressure in the range of $140{\sim}420g/cm^2$ with the ceria coated silica slurries in $100{\sim}300nm$, rates, WIWNU and friction force were measured. The removal rate was in the order of 200, 100, and 300 nm size silica coated with ceria. It was known that the smaller particle size gives the higher removal rate with higher contact area in Cu slurry. In the case of oxide film, the indentation volume as well as contact area gives effect on the removal rate depending on the size of abrasives. The indentation volume increase with the size of abrasive particles, which results to higher removal rate. The highest removal rate in 200 nm silica core coated with ceria is discussed as proper combination of indentation and contact area effect.

A Study on the Corrosion Effects by Addition of Complexing Agent in the Copper CMP Process

  • Kim, Sang-Yong;Kim, Nam-Hoon;Kim, In-Pyo;Chang, Eui-Goo;Seo, Yong-Jin;Chung, Hun-Sang
    • Transactions on Electrical and Electronic Materials
    • /
    • v.4 no.6
    • /
    • pp.28-31
    • /
    • 2003
  • Copper CMP in terms of the effect of slurry chemicals (oxidizer, corrosion inhibitor, complexing agent) on the process characteristics has been performed. Corrosion inhibitors, benzotriazole (BTA) and tolytriazol (TTA) were used to control the removal rate and avoid isotropic etching. When complexing agent is added with H$_2$O$_2$ 2 wt% in the slurry, the corrosion rate was presented very well. In the case of complexing agent, it was estimated that the proper concentration is 1 wt%, because the addition of tartaric acid to alumina slurry causes low pH and the slurry dispersion stability become unstable. There was not much change of the removal rate. It was assumed that BTA 0.05 wt% is suitable. Most of all, it was appeared that BTA is possible to be replaced by TTA. TTA was distinguished for the effect among complexing agents.

A Study on the Optimized Copper Electrochemical Plating in Dual Damascene Process

  • Yoo, Hae-Young;Chang, Eui-Goo;Kim, Nam-Hoon
    • Transactions on Electrical and Electronic Materials
    • /
    • v.6 no.5
    • /
    • pp.225-228
    • /
    • 2005
  • In this work, we studied the optimized copper thickness in Cu ECP (Electrochemical Plating). In order to select an optimized Cu ECP thickness, we examined Cu ECP bulge (bump, hump or over-plating amount), Cu CMP dishing and electrical properties of via hole and line trench over dual damascene patterned wafers split into different ECP Cu thickness. In the aspect of bump and dishing, the bulge increased according as target plating thickness decreased. Dishing of edge was larger than center of wafer. Also in case of electrical property, metal line resistance distribution became broad gradually according as Cu ECP thickness decreased. In conclusion, at least $20\%$ reduced Cu ECP thickness from current baseline; $0.8\;{\mu}m$ and $1.0\;{\mu}m$ are suitable to be adopted as newly optimized Cu ECP thickness for local and intermediate layer.

Cu Plating Thickness Optimization by Bottom-up Gap-fill Mechanism in Dual Damascene Process (Dual Damascene 공정에서 Bottom-up Gap-fill 메커니즘을 이용한 Cu Plating 두께 최적화)

  • Yoo, Hae-Young;Kim, Nam-Hoon;Kim, Sang-Yong;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.93-94
    • /
    • 2005
  • Cu metallization using electrochemical plating(ECP) has played an important role in back end of line(BEOL) interconnect formation. In this work, we studied the optimized copper thickness using Bottom-up Gap-fill in Cu ECP, which is closely related with the pattern dependencies in Cu ECP and Cu dual damascene process at 0.13 ${\mu}m$ technology node. In order to select an optimized Cu ECP thickness, we examined Cu ECP bulge, Cu CMP dishing and electrical properties of via hole and line trench over dual damascene patterned wafers split into different ECP Cu thickness.

  • PDF

Determination of Optimal Design Level for the Semiconductor Polishing Process by Taguchi Method (다구찌 기법을 활용한 반도체 연마 공정의 최적 설계수준 결정)

  • Sim, Hyun Su;Kim, Yong Soo
    • Journal of Korean Society for Quality Management
    • /
    • v.45 no.2
    • /
    • pp.293-306
    • /
    • 2017
  • Purpose: In this study, an optimal design level of influencing factors on semiconductor polishing process was determined to minimize flexion of both sides on wafers. Methods: First, significant interactions are determined by the stepwise regression method. ANOVA analysis on SN ratio and mean of dependent variable are performed to draw mean adjustment factors. In addition, the optimal levels of mean adjustment factors are decided by comparing means of each level of mean adjustment factors. Results: As a result of ANOVA, a mean adjustment factor was determined as a width of formed flexion on the plate. The mean of the difference has the nearest to 0 in the case when the width of formed flexion has level 2 (4mm). Conclusion: Optimal design levels of semiconductor polishing process are determined as follows; (i) load applied to the wafer carrier has a level 1 (3psi), (ii) load applied to the wafer has a level 1(3psi), (iii) the amount of slurry supplied during polishing has a level 3 (300 co/min), (iv) the width of formed flexion on the plate has level 2 (4mm).

Effects of Polymer Adsorption on Stabilities and CMP Performance of Ceria Abrasive Particles

  • Shimono Norifumi;Kawaguchi Masami;Koyama Naoyuki
    • Transactions on Electrical and Electronic Materials
    • /
    • v.7 no.3
    • /
    • pp.112-117
    • /
    • 2006
  • In this paper we present that the effects of polymer adsorption on stabilities and CMP performance of ceria abrasive particles. Characterization of ceria abrasive particles in the presence of poly(vinyl pyrrolidone) (PVP) was performed by the measurements of adsorbed amounts of PVP, average sizes, and the back scattering intensities of the ceria abrasive particles as functions of PVP molecular weight and PVP concentration. The ceria abrasive particles in the presence of PVP were used to polish $SiO_2\;and\;Si_3N_4$ films deposited on Si wafers in order to understand the effect of PVP adsorption on chemical mechanical polishing (CMP) performance, together with ceria abrasive particles without PVP. Adsorption of PVP on the ceria abrasive particles enhanced the stability of ceria abrasive particles due to steric stabilization of the thick adsorbed layer of PVP. Removal rates of the deposited $SiO_2\;and\;Si_3N_4$ films by the ceria abrasive particles in the presence of PVP were much lower than those in the absence of PVP and their magnitudes were decreased with an increase in the concentration of free PVP chains in the dispersion media. This suggests that the CMP performance in the presence of PVP could be mainly controlled by the hydrodynamic interactions between the adsorbed PVP chains and the free ones. Moreover, the molecular weight dependence of PVP on the removal rates of the deposited films was hardly observed. On the other hand, high removal rate selectivity between the deposited films in the presence of PVP was not observed.

Copper MOCVD using catalytic surfactant : Novel concept

  • Hwang, Eui-Seong;Lee, Jihwa
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.30-30
    • /
    • 1999
  • 알루미늄에 비해 전기저항이 낮고 electromigration 및 stress-migration에 대한 저항서이 높은 구리는 차세대 반도체 소자의 배선금속 재료로 여겨지고 있다. 최근 Chemical Mechanical Polishing (CMP) 기술의 도래로 구리배선 공정의 채택이 더욱 앞당겨질 전망이다. 한편, 구리 MOCVD를 위해 다양한 전구체화합물이 합성되었고, 근래에는 Cu(I)(hfc)L (L은 Lewis base 형태의 ligand) 형태의 전구체를 이용한 많은 증착 연구를 통하여 순수하고 전기저항이 낮은 구리 박막의 증착이 보고되었다. 구리 MOCVD의 가장 큰 문제점은 증착속도가 150-$^{\circ}C$20$0^{\circ}C$에서 500$\AA$/min 이하로 낮고 또한 증착된 필름 표면이 매우 거칠다는 데 있다. 이러한 단점으로 인해 전기화학적 증착후 CMP를 적용하는 것이 더욱 경제적이라는 견해가 우세해 지고 있다. 본 강연에서는 박막의 증착 속도와 표면 거칠기를 동시에 향사시키기 위해 catalytic surfactant를 이용한 새로운 MOCVD 개념을 도입하고, 구리 MOCVD에서 단원자층으로 흡착된 요오드 원자가 그 역할을 수행할 수 있음을 보이겠다. 또 요오드원자가 표면반응을 어떻게 수정하여 활성화에너지를 낮추는가를 반응메카니즘으로 밝히고 표면 평탄화의 미시적 해석을 제공하고자 한다. Catalytic Surfactant의 개념은 다른 박막 재료의 MOCVD에도 적용될 수 있으며, 나아가 적절한 기판 표면처리를 통하여 epitaxy도 가능할 것으로 본다.

  • PDF

A Study of the Effects of Pressure Velocity and Fluid Viscosity in Abrasive Machining Process (입자연마가공에서의 압력 속도 및 유체점도의 영향에 대한 고찰)

  • Yang, Woo-Yul;Yang, Ji-Chul;Sung, In-Ha
    • Tribology and Lubricants
    • /
    • v.27 no.1
    • /
    • pp.7-12
    • /
    • 2011
  • Interest in advanced machining process such as AJM(abrasive jet machining) and CMP(chemical-mechanical polishing) using micro/nano-sized abrasives has been on the increasing demand due to wide use of super alloys, composites, semiconductor and ceramics, which are difficult to or cannot be processed by traditional machining methods. In this paper, the effects of pressure, wafer moving velocity and fluid viscosity were investigated by 2-dimensional finite element analysis method considering slurry fluid flow. From the investigation, it could be found that the simulation results quite corresponded well to the Preston's equation that describes pressure/velocity dependency on material removal. The result also revealed that the stress and corresponding material removal induced by the collision of particle may decrease under relatively high wafer moving speed due to the slurry flow resistance. In addition, the increase in slurry fluid viscosity causes the reduction of material removal rate. It should be noted that the viscosity effect can vary with the shape of abrasive particle.