Proceedings of the Korean Vacuum Society Conference (한국진공학회:학술대회논문집)
- Semi Annual
Domain
- Physics > Optics
2000.02a
-
In this talk we discuss the dynamics of hydrogen on the Si(100)-2xl surface. At room temperature the sticking coefficient for molecular hydrogen on this surface is less than 10sup-12. However, hydrogen molecules desorbing from the surface do not have an excess of energy, suggesting at best a small barrier on the exit channel. These observations have led to speculation about the validity of detailed balance in this system. Here we show that this discrepancy can be explained by considering both the surface-molecule co-ordinate and that associated with the Si-Si dimer bond tiltangle. By preparing the surface dimers with a specific tiltangle we demonstrate that the barrier to adsorption is a function of this angle and that the sticking coefficient dramatically increase for certain angles. The adsorption-desopption dynamics can then be described in terms of a common potential energy hypersurface involving both of these co-ordinates. The implications of these observations are also discussed. The dynamics of adsorbed hydrogen atoms on the Si(100) surface is also described. Paired dangling bonds produced following recombinative hydrogen desorption are mobile at elevated temperatures. Pairs of dangling bonds are observed to dissociate, diffuse, and ultimately recombine. At sufficiently elevated temperatures dangling bond exchange reactions are observed. These data are analyzed in terms of an attractive zone and an effective binding interaction between dangling bonds. Insights that this provides into the nature of surface defects and the localized chemistry that occurs on this surface, are also discussed.
-
High quality epitaxial Y2O3 thin films were prepared on Si(111) and (001) substaretes by using ion beam assisted deposition. As a substrate, clean and chemically oxidized Si wafers were used and the effects of surface state on the film crystallinity were investigated. The crystalline quality of the films were estimated by x-ray scattering, rutherford backscattering spectroscopy/channeling, and high-resolution transmission electron microscopy (HRTEM). The interaction between Y and Si atoms interfere the nucleation of Y2O3 at the initial growth stage, it could be suppressed by the interface SiO2 layer. Therefore, SiO2 layer of the 4-6 layers, which have been known for hindering the crystal growth, could rather enhance the nucleation of the Y2O3 , and the high quality epitaxial film could be grown successfully. Electrical properties of Y2O3 films on Si(001) were measured by C-V and I-V, which revealed that the oxide trap charge density of the film was 1.8
$\times$ 10-8C/$\textrm{cm}^2$ and the breakdown field strength was about 10MV/cm. -
반도체 제조 공정을 비롯한 진공 산업에서는 진공 펌프에서 발생하는 backstream으로 인한 contamination이 주요 관심사가 되고 있다. 그러나 로타리 오일 펌프를 사용하는 경우에는 오일의 backstream으로 인한 contamination을 피할 수가 없어 현재는 오염 문제가 생산에 미치는 영향이 큰 반도체 공정에서는 건식 펌m을 적용/사용하고 있다. 본 발표서는 반도체는 제조 공정에 필수적으로 사용되고 있는 건식 펌프(dry pump)의 세계적 기술 동향을 살펴보고 건식펌프의 동작 원리 및 반도체 공정에서의 적용 사례등을 살펴보고자 한다.
-
당사는 각종 진공 장비를 개발/제작한 경험을 바탕으로 25년 동안 진공 산업 발전에 기여하여 왔으며 자체 기술로 HIGH VACUUM 응용시스템 설계 및 제작하고 있다. 이와 함께 3D CAD를 이용한 consulting 및 Modeling 분석을 수행하여, 자체 기술로 설계 및 제작 판매하고 있다. Vacuum System은 In-line System (ITO, SiO2, Cr Tio2, Ag, Al 등), Roll to Roll(Web) Sputtering system (ITO, SiO2, Ar, Metal 등), 유기 EL 박막 진공 증착 장치, PECVD System, Evaporator 시스템 등을 제작 공급하고 있다. 현재 Roll to Roll(Web) Sputtering System은 Dual Cathode를 사용하는 방식으로 개발중에 있으며, 평판 디스플레이용 대면적 Glass를 위한 In-line Sputtering System을 같이 개발하고 있다.
-
Al2O3는 높은 화학적, 열적 안정성으로 인하여 미세전자 산업에서 절연막이나 광전자소자의 재료로써 널리 이용되고 있다. 특히, 사파이어는 고위도의 LED, 청색 LD의 재료인 GaN 계열의 III-Nitride 물질을 성장시킬 때 필요한 기판으로 보편적으로 사용되고 있다. 이러한 GaN계열의 광소자 제조에서 사파이어 기판을 적용시 지적되는 문제점들 중의 하나는 소자제조 후 사파이어의 결정 구조 및 높은 경도에 의해 나타나는 cutting 및 backside의 기계적 연마가 어렵다는 것이다. 최근에는 이온빔 식각이나 이온 주입 후 화학적 습식 시각, reactive ion etching을 통한 사파이어의 건식 식각이 소자 분리 및 backside 공정을 우해 연구되고 있다. 그러나 이러한 방법을 이용한 사파이어의 식각속도는 일반적으로 15nm/min 보다 작다. 높은 식각율과 식각후 표면의 작은 거칠기를 수반한 사파이어의 플라즈마 식각은 소자 제조 공정시 소자의 isolation 및 lapping 후 연마 공정에 이용할 수 있다. 본 연구에서는 평판 유도결합형 플라즈마를 이용하여 Cl2/BCL3/Ar 의 가스조합, inductive power, bias voltage, 압력, 기판온도의 다양한 공정 변수를 통하여 (0001) 사파이어의 식각특성을 연구하였다. 사파이어의 식각속도는 inductive power, bias voltage, 그리고 기판 온도가 증가할수록 증가하였으며 Cl2에 BCl3를 50%이하로 첨가할 때 BCl3 첨가량이 증가할수록 식각속도 및 식각마스크(photoresist)와의 식각선택비가 증가하는 것을 관찰하였다. 또한, Cl3:BCl3=1:1의 조건에 따라 Ar 첨가에 따른 식각속도 및 표면 거칠기를 관찰하였다. 본 연구의 최적 식각조건인 40%Cl2/40%BCl3/20%Ar, 600W의 inductive power, -300V의 bias voltage, 30mTorr의 압력, 기판온도 7
$0^{\circ}C$ 에서 270nm/min의 사파이어 식각속도를 얻을수 있었다. 그리고 이러한 식각조건에서 표면의 거치기를 줄일수 있었다. 사파이어 식각은 보편적인 사파이어 lapping 공정시 수반되어 형성된 표면의 거치기를 줄이기 위한 마지막 공정에 응용될수 있다. 사파이어의 식각시 나타나는 식각 부산물은 플라즈마 진단방비인 optical emission spectroscopy (OES)를 통하여 관찰하였고, 식각시 사파이어의 표면성분비 변화 및 표면의 화학적 결합은 X-ray photoelectron spectroscopy(XPS)를 사용하여 측정하였다. 시각 전, 후의 표면의 거칠기를 scanning electron microscopy(SEM)을 통하여 관찰하였다. -
본 발표에서는 (주)한백을 소개하고자 한다. 회사의 연혁 및 생산 품목, 부설연구소를 간략히 소개할 예정이다. (주)한백은 반도체 제조장비 및 진공시스템 개발에 있어 타사와 구별되는 창의성을 부여 해당 분야의 첨단기술 개발에 이어 독자적 기술영역을 확보해 나감으로써, 반도체 공정기술의 선두 기업으로서의 기술 개발에 전 가족들의 정렬과 노력을 아끼지 않고 있다. MOCVD 시스템을 비롯한 장비의 상용화를 실현하고 있으며 각종 과제 수행과 산학연 컨소시엄을 통한 공동연구에도 적극 참여하고 있다.
-
일반적으로 GaN-based light emitting diodes(LEDs)는 Top layer위에 금속박막으로 contact을 형성하고 있으며 광소자 구성에 있어 빛은 이러한 금속 contact을 통과할 수 없다. 그러나 만약 이러한 contact이 투명전도막으로 구성될 수 있다면 보다 효율적인 광소자의 구성이 기대되어진다. 특히 GaN photodetector, GaN-based LEDs, GaN vertical cavity surface emitting lasers(VCSELs)등의 소자형성에 있어 투명전도막 contact은 매우 중요하며 그 응용에 앞서 기본적인 구조적, 전기적, 광학적 특성에 대한 연구가 반드시 선행되어져야 한다. 따라서 본 실험에서는 이러한 투명전도막으로써 Indium Tin Oxide(ITO)를 사용하였으며 박막형태의 contact으로 제조하여 n-GaN, p-GaN와 corning glass위에 e-beam evaporation법로써 제조하였다. 또한 각 n-, p-type과 corning glass위에 증착된 ITO박막의 구조적 특성을 분석하기 위하여 x-ray diffractometry(XRD)와 Auger electron spectroscopy(AES)등을 사용하였으며 전기적 특성을 측정하기 위하여 four point probe를 사용하였고 그들의 I-V 곡선을 측정하였다. 또한 UV spectrometry를 사용하여 그들의 광학적 특성을 측정하고자 하였다. ITO 박막의 제조에 있어 기판은 초음파 유기세정 후 HCl과 H2O2(1:1)의 혼합용액을 사용하여 GaO2를 제거하고자 하였으며 이후 초순수로 세척하여 사용하였다. 초기 진공도는 3
$\times$ 10-5 Torr이하였으며 기판온도 50$0^{\circ}C$ 에서 0.6 /s의 증착속도로 약 2000 증착하였다. 이렇게 제조된 ITO 박막은 5$\times$ 10-5 Torr이하의 진공분위기에서$600^{\circ}C$ 로 열처리를 실시하였으며 열처리 시간의 변화에 따른 그들의 전기적, 구조적, 광학적 특성을 측정하였다. 열처리 과정을 통한 ITO박막은 투과도는 420nm의 영역에서 80%이상을 나타내었으며 이때의 면저항은 약 50ohm/ 이었다. 또한 I-V 곡선 측정에 의한 contact특성의 측정결과 열처리 전의 ITO contact은 n-GaN와 n-GaN에 대해 각각 ohmic과 schottky contact의 일반적인 contact 특성을 나타내었다. 그러나 이러한 contact 특성은 열처리 시간의 변화에 따라 변화하는 것을 확인할 수 있었다. -
ZnO 박막은 대칭 육방정계(hexagonal) wurtzite-type crystal로써 결정구조에서의 이방성, 비화학양론 결합구조와 다양한 전기적, 광학적 그리고 타성파적 성질 때문에 현재 여러 응용분양에서 각광을 받고 있는 재료 중의 하나이다. 이러한 특성을 갖는 ZnO 박막은 결정학적으로 기판에 수직인 c-축 우선방위현상(preferred orientation)을 나타내며 압전 특성을 이용하여 응용을 할 경우 이 c-축 우선방위현상에 따라 압전 특성에 큰 차이가 있으며 ZnO 박막의 형성 조건에 의해 c-축 우성배향성은 큰 차이가 있다. 특히 스퍼터법을 이용하여 ZnO 박막을 형성하는 경우에는 투입전력, 기판온도, 분위기 가스압력, 타겟간 거리등의 증착조건에 의해 결정학적 및 전기적 특성이 크게 영향을 받게 된다. 따라서 결정학적으로 양호하며 고품위의 특성을 갖는 ZnO 박막을 제작하기 위해서는 최적의 증착조건을 확립하여 ZnO 박막을 제작할 필요가 있다. 본 연구에서 사용된 대향 타겟식 스퍼터장치는 두 개의 타겟이 서로 마주보게 배치되어 있고 양 타겟에 수직으로 분포하고 있는 자계가
${\gamma}$ -전자를 구속하게 되어 고밀도의 플라즈마를 형성할 수가 있다. 따라서 10-4Torr에서도 안정한 방전을 유지할 수가 있으며 기판의 위치가 플라즈마로부터 이격되어 (plasma-free)있는 위치에 있기 때문에 플라즈마내의 높은 에너지를 갖는 입자들의 기판충돌을 최대한 억제하여 고품위의ZnO 박막을 제작할 수가 있다. 이러한 특징을 갖는 대향타겟식스퍼터장치를 이용하여 본 연구에서는 비정질 slide glass를 기판으로 하여 ZnO 박막을 증착하였으며 XRD(X-ray Diffractometer)를 이용하여 증착된 ZnO 박막의 결정학적 특성을 측정하였다. ZnO 박막은 산소 가스압력과 기판온도, 인가 전류를 변화시켜가며 증착하였으며 이에 따른 박막의 결정성 변화를 알아보았다. 기판온도를 실온에서 점차 증가시켜나가면$\Delta$ $\theta$ 50은 급격히 감소하며 30$0^{\circ}C$ 에서는 결정성이 우수한 막을 얻을 수 있었다. 또한 산소 가스 압력이 0.5~1mTorr에서$\Delta$ $\theta$ 50은 양호한 값을 나타내었지만 그 이상에서는 c-축 배향성이 나빠짐을 확인하였다. 따라서 대향타겟식스퍼터 장치를 이용하여 ZnO 박막을 증착시 가스압력 0.5~1mTorr, 기판온도 20$0^{\circ}C$ 이상의 막 제작조건에서 결정성이 우수하게 나타나는 것을 확인할 수 있었다. -
KSTAR 토카막은 보조가열 장치로 2005년까지 1대(최종적으로는 2대)의 중성입자빔 입사장치(NBI)를 설치하여 장치의 기본 설계값에 도달할 예정이다. KSTAR NBI는 3개의 이온원을 가지고 있으며 총 수소 유입량은 70 Torr.L/s인 반면 고속 중성 입자빔량은 모두 11 Torr.L/s로 기체 배기량은 59 Torr.L/s에 달하고 압력은 장소에 따라 10-5~10-6 Torr로 유지되며 총배기속도가 1~2
$\times$ 106 L인 펌프가 필요하다. 이때 크라이오 펌프(cryopump) 방식이 거의 유일한 해결책이라고 할 수 있다. 크라이오 펌프는 고속 입자빔 수송로의 양편에 각각 설치되는데 총면적 30m2 내외의 극저온 냉각판(cryo-pnael)들과 이를 상온 열복사로부터 보호하기 위한 열차폐(thermal shield) 및 흡기구 배플(baffle), 그리고 적절한 냉각장치로 구성된다. 시운전 단계에서는 15K GM 냉동기와 활성탄이 부착된 냉각판을 사용하는 방식과 4K GM 냉동기로 냉각하는 방식이, 최종 운전단계에서는 3.7K 액체 헬륨을 사용하는 방식이 고려되고 있다. 크라이오 펌프의 구조설계에 앞서 우선 배기속도, 흡?량, 작동압력, 냉각판 온도, 열손실량 등 설계사양을 확정하고 정리하는 일이 진행되고 있다. 또 냉각방식과 상관없이 동일한 개념으로 만들어지는 배플과 열차폐의 최적설계를 위한 몬테카를로 계산과 열전도 계산을 병행하고 있다. 이 곳에서는 KSTAR NBI 장치의 주배기계로서 사용될 크라이오 펌프의 설계방향과 전반적인 구조 및 예상성능 등에 대해 발표하려고 한다. -
저온유지장치에서 요구되는 도달압력은 초전도 코일의 냉각시스템과 저온유지장치의 벽 사이의 진공단열조건으로부터 결정될 수 있으며, 기체에 의한 열전도의 영향을 방지하기 위해서는 5
$\times$ 105Torr 이하의 진공도가 요구된다. 저온유지장치 내에서 진공 단열재로 사용되는 Glass Fiber Reinforced Plastic(GFRP)과 Carbon Fiber Reinforced Plastic(CFRP)의 기체 방출률을 측정하였다. 고출력 토카막 방전동안 graphite limiter 표면의 온도는 200$0^{\circ}C$ 이상이며, 플라즈마로 유입되는 불순물의 양을 줄이기 위해 많은 시도를 하고 있다. 상온에서부터 약 100$0^{\circ}C$ 까지 승온에 따른 방출기체의 양 및 성분 분석, annealing 후 공기 중에 약 2주간 노출 후 기체 방출률과 성분을 분석하였다. 총 기체 방출량은 10$0^{\circ}C$ 근방에서 급격히 증가하여$600^{\circ}C$ 부터는 graphite의 종류에 관계없이 거의 일정한 분포를 보이며, 최대 방출률은 약 20$0^{\circ}C$ ~30$0^{\circ}C$ 부근에서 나타났다. -
당사는 1996년 SPUTTERING SYSTEM 제작을 시작으로 하여 진공관련장치 및 부품을 제작, 납품하는 기업입니다. 그 동안 산업체 및 대학, 연구소 등에 제작 납품된 품목은 양산용SPUTTER 연구실험용SPUTTER, ION PLATING, RIE SYSTEM, E-BEAM 증착기 산업용 AL-EVAPORATOR, 산업용 AIP SYSTEM, 고온열처리로, 진공건조장치 진공 배기SYSTEM, 기타 진공관련장치가 있으며 진공부품으로는 OIL ROTARY PUMP, DIFFUSION PUMP, VALVE 및 배관부품 등이 있습니다. 그밖에 취급하는 품목으로는 진공게이지류 및 터보펌프를 판매하고 있습니다. 일부 장치는 기술력을 인정받아 일본, 동남아시아, 중국 등에 수출되었습니다. 당사는 항상 고객이 필요로 하는 진공응용장치를 다년간 축적된 제조기술과 연구력을 바탕으로 만족스러운 제품을 공급하고 있기에 이를 소개하고자 합니다.
-
직접 회로의 소자크기가 더욱 미세화에 따라, 기존에 사용하는 금속 배선의 저항과 금속 배선과 층간 유전 물질에 의한 정전용량의 증가로 인한 시간 지연 (RC time delay) 문제가 크게 대두되고 있다. 이 문제를 해결하기 위해 비유전율이 낮은 물질을 층간 유전체로 사용하여 정전용량을 낮추는 것이 필요하다. 기존의 실리콘 산호막 대신에 MSSQ(methylsilsequioxance)를 이용할 때 필요한 건식 식각 공정을 연구하였다. MSSQ 물질을 patterning 하기 위해 습식 공정의 부산물인 폐액 등의 문제점이 발생하지 않을 뿐만 아니라, 소자의 손상이 적고 선택비가 높으며, 식각의 이방성을 향상시킬 수 있는 장점을 갖고 있는 반응 이온 식각기(reactive ion etchin)을 이용하였다. CF4/O2 plasma를 사용하였는데, 가스의 양의 flow rate와 조성비, RF pover(50, 100, 150 W)등의 변화에 따른 식각 특성을 알아보았다. atep, SEM, AFM등을 이용하여 측정·분석하였다.
-
진공장비 내에서 사용되는 베어링에 있어서 그리이스나 오일은 중기압이 높아 장치내 진공도 저하뿐 아니라 처리되는 부품에 오염을 초래하게 되므로 많은 경우 진공 환경용 베어링은 전동면 또는 마찰면에 마찰계수가 매우 낮은 박막을 형성시켜 윤활을 실현한다. 진공용 베어링이 사용되는 곳은 인공위성의 안테나, 태양전지 전개 기구 및 회전부 등 우주항공 분야를 비롯하여, 상업적으로는 X-ray 발생장치, 반도체 등 전자소재 제조 장치의 구동부 및 각종 진공 증착 장치 등에 널리 사용되고 있다. 이러한 진공용 베어링은 일반 베어링의 수 천배에 달하는 고가로 그간 전량 수입에 의존하여 왔으며 근래에는 국내 전자 산업의 발전과 더불어 그 수요가 폭발적으로 증가하여 수입 비용의 부담도 크게 늘고 있다. 당사에서는 진공에서의 각종 요구특성에 대응한 베어링으로 연질금속계(Ag. Au, Pb) 황하물계(Mos2), 폴리머계(PTFE) 의 윤활 막을 코팅한 진공용 베어링을 개발하여 공급능력을 갖추었기에 이의 특징 및 용도를 소개하고자 한다.
-
당사는 (주)포스콘 진공 사업 부분이 분사하여 1999년 1월 진공 장비 및 제어계측 분야 독립 법인체를 설립하였으며 당사의 주력 제품은 초고진공용 Ion pump 및 controller로서 국산화 개발하여 생산 판매하고 있다. Ion pump 와 controller는 10-4 ~ 10-10 Torr 범위의 초고진공으로 진공 시스템을 배기할 수 있는 장비로서 무소음, 무진동, 저전력의 특성을 가진 초정밀, 초청정 진공 실험에 없어서는 안 될 펌프이다. 현재 당사는 과학기술부의 특정연구개발 과제를 극고진공(XHV)용 Ion Pump를 개발하고 있는 중이다.
-
포항가속기 저장링 진공 챔버의 진공도는 전자빔을 10시간 이상 저장하기 위하여 10-10torr 이하의 초고진공이 유지되고 있다. 전자빔이 지나는 궤도를 구성하는 챔버와 부품수는 대략 170여종 이상이며, 원주길이는 약 280 m이다. 진공 챔버의 재질은 가스 방출률이 낮고 가공성, 접합성 등이 우수한 알루미늄 합금, 스텐레스 스틸, 무산소동, 세라믹 등이다. 이러한 많은 수의 챔버와 부품들은 초고진공을 유지하기 위하여 진공기밀이 요구되며, 여기서 필수적인 것이 접합이다. 저장링 챔버에 적용된 접합방법은 주로 티그 용접이고 그 외에 진공 브레이징과 전자빔 용접이다. 저장링 챔버에 적용된 접합방법은 주로 티그 용접이고 긔 외에 진공 브레이징과 전자빔 용접이다. 저장링 챔버에 요구되는 접합기술은 완벽한 기밀유지와 함께 용접열에 의한 변형 최소화가 요구되기 때문에 이것이 초고진공을 얻기 위한 핵심기술이라고 할 수 있다. 따라서, 본 소개에서는 주로 적용된 알루미늄 합금제 섹터 챔버를 중심으로 용접 시공시 주요 사항인 용접설계, 용접균열, 용접환경 및 변형 등에 대하여 소개하고자 한다.
-
PDP, FED, 그리고 VFD와 같은 마이크로 전자디스플레이 장치를 제작하기 위한 가장 중요한 기술중에 하나인 패널 내를 고진공으로 만드는 것과 초기의 진공을 유지하는 것이다. PDP 디스플레이는 전면판과 후면판으로 구성되어 있다. 전면판은 ITO전극, 절연체 그리고 MgO보호막으로 구성되어 있으며, 후면판은 어드레스 전극, 반사층, 격벽, 그리고 형광체층이 있다. 기존의 방식은 대기에서 프릿 글라스를 이용하여 두 장의 유리를 봉입하고, 후면판 모서리 부분에 있는 구멍에 배기 글라스 튜브를 붙이고, 튜브를 통해서 배기하고, 플라즈마 가스를 채우고, 최종적으로 tip-off를 한다. 이러한 기존의 방식을 통해서는 배기 컨덕턴스의 한계로 얻을 수 있는 초기 진공도에 한계가 있다. 아울러 두 장의 유리사이는 150
$\mu$ m 정도의 간격으로 되어 있고, 이웃한 격벽사이는 320$\mu$ m 정도의 미세한 공간이 주어지는 구조가 컨덕턴스를 저하시킨다. 이와 같은 초기 진공도의 한계성을 극복하기 위한 연구로서, PDP 패널을 구성하는 두 장의 글라스를 진공 챔버내에서 IR heater를 이용하여 실장하였다. 대개 PbO, ZnO, SiO2,, 그리고 B?로 구성된 프릿 글라스를 대기에서 전면판에 dispensing하고 가소한다. 그리고 프릿 글라스가 형성된 전면판과 후면판을 loading, align 한 다음, 2 10-7torr까지 펌핑한 후 heating, holding 그리고 cooling 공정을 수행하므로 써 두 장의 유리를 실장하였다. 그러나 온도의 non-uniformity, 프릿 성분에 따라서 crack과 기포문제가 진공 실장과정에서 발생하였다. 이와 같은 문제를 개선하기 위해 프릿 글라스의 새로운 조성과 온도 uniformity를 유지하므로써, 프릿 글라스의 기포와 crack 발생없이 재현성 있게 진공 실장하였다. Leak channel 형성유무를 검증하기 위하여 챔버 자체의 펌핑 속도와 제작된 패널의 펌핑 속도를 비교하므로써, leak channel형성 유무를 평가할 수 있는 방법을 이용하였다. 이와 같은 방법을 이용하여, crack 또는 기포가 있는 패널은 leak channel을 형성하여 패널내의 진공을 유지할 수 없음을 검증하였고, crack 또는 기포가 없는 패널은 leak channel없이 패널내의 진공을 유지할 수 있음을 검증하였다. 결과적으로 진공 인-라인 실장시 가장 중요한 요인인 프릿의 변화를 분석하므로써, 고진공을 요구하는 FPD(PDP, FED, VFD)에 적합하게 적용할 수 있으며, 아울러 실장시 진공도를 개선하므로 패널내부의 오염을 최소화하여 디스필레이로서의 효율을 극대화할 수 있을 것이다. -
과학기술 및 산업의 발달로 인하여 실험 중 또는 공정 상에서 in situ, 실시간으로 측정하고 분석하며 이를 되먹임하는 품질제어의 중요성이 대두되고 있다. 반도체 공정 또는 박막제조 공정 중에서 박막의 두께, 굴절율, 물질의 조성비 등을 알아내는 것이 긴요한 과제로 대두되고 있으며, 이를 위하여 공정중인 제품의 품질을 실시간으로 평가하는 장비가 요구되고 있는 것이다. 나아가 공정중의 예상하지 못한 시료의 특성변화를 그대로 감지하여 적절히 보정해 주는 되먹임 기법은 높은 수율을 보장하는 첨단기법이라 할 수 있다. 이러한요구에 부응할 수 있는 본 제품(Elli-situ 2000)은 박막의 두께 표면변화를 sub 의 정밀도를 가지고 in situ, 실시간으로 정밀 측정할 수 있는 첨단 계측장비로서 빛의 편광상태 변화를 측정하기 때문에 공정 중의 시료에 영향을 주지 않는 비간섭 특성과 비접촉 특성의 장점 뿐만 아니라 공기중에서는 물론 진공이나 액체 등의 매질에서도 사용될 수 있어서 매질에 대한 제약이 거의 없다는 장점도 가지고 있다. 편광상태의 제어 및 측정을 필요한 광학장비의 경우, 제작이 까다롭기 때문에 대부분 가격이 높은 편이고 사용방법이나 측정 데이터에 대한 해석이 어렵다는 단점이 있으나, Elli-situ 2000의 경우 상용화된 외국제품(국내제품은 없슴)과 비교하여 성능 및 가격경쟁력에 있어서도 우위에 있으며 간단, 명료한 장비조작 및 컴퓨터를 사용한 구동의 전자동화를 이룸으로써 초보자도 쉽게 측정하고 데이터를 처리할 수 있도록 하였다. 또한 취부대의 경우, 진공포트 플랜지의 표준규격(2-3" Del-Seal 플랜지 규격)에 맞춤으로써 기존의 진공챔버에 부착하여 진공에 전혀 영향을 주지 않는 상태에서 시료의 변화를 in situ, 실시간으로 정밀 측정할 수 있도록 하였다. 하였다.O 박막은 산소 가스압력과 기판온도, 인가 전류를 변화시켜가며 증착하였으며 이에 따른 박막의 결정성 변화를 알아보았다. 기판온도를 실온에서 점차 증가시켜나가면
$\Delta$ $\theta$ 50은 급격히 감소하며 30$0^{\circ}C$ 에서는 결정성이 우수한 막을 얻을 수 있었다. 또한 산소 가스 압력이 0.5~1mTorr에서$\Delta$ $\theta$ 50은 양호한 값을 나타내었지만 그 이상에서는 c-축 배향성이 나빠짐을 확인하였다. 따라서 대향타겟식스퍼터 장치를 이용하여 ZnO 박막을 증착시 가스압력 0.5~1mTorr, 기판온도 20$0^{\circ}C$ 이상의 막 제작조건에서 결정성이 우수하게 나타나는 것을 확인할 수 있었다. gluten이 단단해졌음을 알수 있었다. 유화제 stearly 칼슘, 혹은 hemicellulase를 amarans 10% 대체한 밀가루에 첨가하면 확연히 비용적을 증대시킬 수 있다는 사실을 알 수 있었다. quinoa는 명아주과 Chenopodium에 속하고 페루, 볼리비아 등의 고산지에서 재배 되어지는 것을 시료로 사용하였다. quinoa 분말은 중량의 5-20%을 quinoa를 대체하고 더욱이 분말중량에 대하여 0-200ppm의 lipase를 lipid(밀가루의 2-3배)에 대하여 품질개량제로서 이용했다. 그 결과 quinoa 대량 7.5%에서 비용적, gas cell이 가장 긍정적 결과를 산출했고 반죽의 조직구조가 강화되었다. 또 quinoa 대체에 의해 전분-지질 복합제의 흡열량이 증대된 것으로부터 전분-지질복합제의 형성 촉진이 시사되었다.이것으로 인하여 호화억제에 의한 노화 방지효과가 기대되었지만 실제로 빵의 노화는 현저히 진행되었다. 이것은 -
당사는 진공 및 반도체 장비용 major components 국산화 개발을 전문으로 하는 회사로서 주로 vacuum과 gas control 부문 개발에 역점을 두고 있습니다. 현재 생산 혹은 차후 개발하고자 하는 제품은 다음과 같다. MFC power supply & Readout unit(GMC 1000, GMC 100A, GMC110A), Vacuum Controller(GVC2000, GVC1000, GVC2002), Throttle valve Controller & Pressure Display unit(GPC3000), MFC 등을 생산/판매하고 있다.
-
진공부품에 사용되고 있는 재료는 여러 가지 있으나 회주철품은 진동 감쇄 및 흡진성이 우수하여 소음 발생이 적고, 조직 중에 흑연이 존재하므로 주강에 비하여 자기윤활작용이 있으며, 말차계수가 적어 마찰면의 면입이 높은 경우에도 소손 등의 이상 마멸현상이 적어 진공펌프, 내연기관, 공작 기계등과 같이 강인성과 내마멸성을 요구하는 부품에 많이 이용되어 왔다, 이 회주철도 대별하면 여러 종류가 있고, 진공 펌프용 재질은 주로 회주철 중에 ASTM A형으로 도면에 명기되어 있다. 따라서 본 제품을 개발하는 과정중에 연속 주조법으로 제조된 ASTM형이 기존의 재료에 비하여 내기밀성, 내마면성, 내피로성 및 기계적 성질등이 우수함을 확인하여본 재질로 개발하였고, 시험한 연구 결과를 발표하고자 한다. 실험은 ASTM A형으로 주조하기 위하여 용해된 용탕을 사형 주형에서 GC200으로 주조하고, ASTM D형은 D사의 연속 주조기에서 직경 30mm로 주조하였다. 이와 같이 주조된 사료를 일정한 크기로 시험편을 만들어 인장 강도, 경도, 피로한도, 피로성, 절삭성, 내유압성, 소입성과 내마멸성을 시험하였다.
-
당사는 1991년 6월에 설립된 후 반도체 장비의 국산화 개발에 주력하고 있다. Thermoelectric module typer Chiller 및 Dry gas scrubber를 국산화 하였으며 THC(Temperature & Humidity Controller)를 개발하여 국내 및 미국에 특허를 출원중에 있으며 기업 부설연구소를 통해 계속하여 각종 제품을 연구 개발하고 있다. 이외 다수의 제품을 보유하고 있으며 기업 부설연구소를 통해 계속하여 각종 제품을 연구 개발하고 있다. 이외 다수의 제품을 보유하고 있으며 진공관련 제품으로는 미국 MDC사 Vacuum components, e-beam vaporation system. ISI사 electrical and optical components, US Inc. 사 Sputter system, SBC사 Bellows. 일본 IKC사 Bellows, Vacuum components, Vacuum system을 취급하고 있다. 1998년에 경기도 및 중소기업청으로부터 유망중소기업 및 벤처기업 인증을 획득하였으며 1999년 12월에 경기도 및 중소기업청으로부터 유망중소기업 및 벤쳐기업 인증을 획득하였으며 1999년 12월 KOSDAQ에 등록하였다. 중소기업 수출기업화 사업 대상기업으로 선정되었으며 1999년 12월 KOSDAQ에 등록하였다.
-
당사는 1970년 제우스 콤 상사로부터 출발하여 1988년 12우러 주식회사 제우스로 법인 전환한 후 현재 한국반도체 산업협회 및 회원으로 등록 활동하고 있다. 이번 발표에서는 회사에 대한 소개와 함께 취급품목을 설명하고자 한다. 취급품목은 Ion beam sources(CSC), E-beam evaporator & E-beam source(Temescal), Residual Gas Analyzer(SPECTRA), Cryopump & Waterpump(CTI-Cryogenics), Thickness Monitor & Controller, Electrical Feedthrough, RTP system, Thin Film Analyzer, PECVD, RIE 등이다.
-
한국진공연구조합의 회원으로 등록 활동하고 있는 당사는 각종 반도체 공정에서 화학적으로 인정하고 불활성인 이태리 AUSIMONT사의 FOMBLIN oil, 진공 그리이스, 환경 친화적인 불소계 용제(FOMBLIN PFS-1), PTFE sheet와 gasket(Italy GUARNIFLON), 비석면 재질로서 내마모성 및 내구성이 뛰어난 로타리 베인 펌프용 vane을 공급하고 있다.
-
저진공 펌프 제작시 ISO, AVS 등의 국제규격에 ?춤은 필수이고, 도달진공도, 압력구간별·가스별 배기속도, 소음, 기름증기 역류, 압축비 등이 이에 해당된다. 큰 체적의 시험용기가 구비되어 있지 않은 경우에는 배기감압법 대신 일정유량 Q를 흘려 시험용기내에 일정압력 P를 유지시키면서 배기속도를 S=Q/P식으로 구하는 일정압력법을 사용한다. 이 실험은 800~2000 l/min급 유회전 펌프와 드라이 펌프로 압력별 배기속도를 측정, 분석하여 평가방법을 수립하였다. 또 국가 유량 표준기인 sonic nozzle을 reference로 하여, 기존의 유량측정 장비와의 오차를 비교하였다. 온도 상승도를 측정, 압력과의 상관관계를 비교하였고, 오차가 적은 CDG(1, 10, 100torr)를 사용하여, 압력측정의 정확도를 높였다. 비교적 outgassing이 적은 SUS 재질의 chamber를 썼고, 유량조절 장치로 미세누출밸브를 사용하였다.
-
반도체 산업에서 유기물질의 응용에 많은 관심을 나타내고있으며, 그 응용의 예로는 발광 다이오드(light emitting diode)와 박막트랜지스터(thinfilm transistor)가 주를 이루고 있다. 이러한 유기 물질을 이용하면 소자의 제작 공정의 단순화와 제작 가격을 낮출 수 있는 이점을 기대할 수 있다. 본 연구에서는 유리 기판 위에 pentcence 다이오드를 제작하였다. 유리 기판 위에 silicon dioxide를 PECVD으로 성막하였다. 전극으로는 Ohmic contact를 이루기 위해 금(Au)을 사용하였으며 schottky contact을 이루기 위해서 알루미늄(Al), 인듐(In), 크롬(Cr), 은(Ag), 금(Au)을 각각 사용하였다. 소자의 활성 층으로는 pentcene을 가장 단순한 열 증착법으로 성막하였고, 진공도는 10-8Torr를 유지하였으며 성막 속도는 0.3
$\AA$ /sec로 성막하였다. 제작된 소자들은$\alpha$ -step, I-V, C-V, AFM, IR등을 이용하여 측정, 분석하였다. -
Yoon, Seok-Ho;Hwang, Hee-Don;Lee, Tae-Wan;Kwon, Hyuk;Cho, Gwang-Sik;Yoon, Eui-Joon;Kim, Young-Dong;Lee, Wook-Hyun;Lee, Dong-Han 57
-
Recently low resistance of bus line is required for large screen size RFT-CLD panels. As a result, lower resistance Al-alloy is currently reviewed extensively. The resistivity is required smaller than 10
$\mu$ $\Omega$ cm and high resistance of chemical attack is required. In this paper, Al-W thin film were deposited on glass substrates by D.C magnetron sputtering system under various condition for high chemical resistance. Its properties were characterized by SEM, AFM, XRD, 4-point-probe, and cyclic voltammertry. The optimal condition of Al-W was 10$0^{\circ}C$ , 100W, 0.4Pa, 23sccm(Ar) and 35$0^{\circ}C$ , 20min. annealing. At that condition the resistivity of Al-W(3 wt.%) was about 11$\mu$ $\Omega$ cm. And when wt.% of W in Al-W alloy was higher than about 4%, Al-W alloy thin film has high chemical resistance. -
Recently low resistance of bus line is required for large screen size TFT-LCD panels. As a result, lower resistance Al-alloy is currently reviewed extensively. The resistivity is required smaller than 10
$\mu$ $\Omega$ cm. In this paper, Al-Nd thin film were deposited on glass substrates by D.C. magnetron sputtering system under various condition. Its properties were characterized by SEM, AFM, XRD, 4-ping-probe. The optimal condition of Al-Nd was 12$0^{\circ}C$ , 125W, 0.4Pa, 30sccm(Ar) and 35$0^{\circ}C$ , 20min. annealing. At that condition the resistivity of Al-Nd(2wt.%) was about 4$\mu$ $\Omega$ cm. The minimum contact resistance of ITO/Nd was about 110$\mu$ $\Omega$ cm in the condition of 30$0^{\circ}C$ , Ar 30 sccm. O2. -
The adhesion interface formation between copper and poly(ethylene terephthalate)(PET), poly(methyl methacrylate)(PMMA) and Polyimide films was treated using Ion assisted reaction system to sequential sputter deposition by High-Frequency ion source. The ion beam modification system used a new type of low power HF ion thruster for space application as new low thruster electric propulsion system. Low power HF ion thruster with diameter 100mm gives the opportunity to obtain beams of Ar+ with currents 20~150 mA (current density 0.5~3.5 mA/cm2) and energy 200~2500eV at HF power level 10~150 W. Using Ar as a working gas it is possible to obtain thrust within 3~8 mN. Contact angles for untreated films were over 95
$^{\circ}$ and 80 for Pet, 10o for PMMA and 12o for PI samples as a condition of ion assisted reaction at the ion dose of 10$\times$ 1016 ions/cm2, the ion beam potential of 1.2 keV and 4 ml/min for environmental gas flow rate. 900o peel tests yielded values of 15 to 35 for PET, 18 to 40 and 12 to 36 g/min. respectively. High resolution X-ray photoelectron spectrocopy is the Cls region for Cu metal on these polymer substrates showed increases in C=O-O groups for polymide, whereas PET and PMMA treated samples showed only C=O groups with increase the ion dose. Finally, unstable polymer surface can be changed from hydrophobic to hydrophilic formation such as C-O and C=O that were confirmed by the XPS analysis, conclusionally, the ion assisted reaction is very effective tools to attach reactive ion species to form functional groups on C-C bond chains of PET, PMMA and PI. -
Device의 고성능화를 위하여 소자의 고속화, 고집적화가 가속됨에 따라 SALICIDE Process가 더욱 절실하게 요구되고 있다. 이러한 SALICIDE Process의 재료로써는 metal/silicide 중에서 비저항이 가장 낮은 TiSi2(15-25
$\mu$ $\Omega$ cm), CoSi2(17-25$\mu$ $\Omega$ cm)가 일반적으로 많이 연구되어 왔다. 그러나 Ti-silicide의 경우 Co-silicide는 배선 선폭의 감소에 따른 면저항 값의 변화가 작으며, 고온에서 안정하고, 도펀트 물질과 열역학적으로 안정하여 화합물을 형성하지 않는다는 장점이 있으마 Ti처럼 자연산화막을 제거할 수 없어 Si 기판위에 자연산화막이 존재시 균일한 실리사이드 박막을 형성할 수 없는 단점등을 가지고 있다. 본 연구에서는 Ti Capping layer 에 의한 균일한 Co-silicide의 형성을 일반적인 Si(100)기판과 SCl 방법에 의하여 chemical Oxide를 성장시킨 Si(100)기판의 경우에 대하여 연구하였다. 스퍼터링 방법에 의해 Co를 150 증착후 capping layer로써 TiN, Ti를 각각 100 씩 증착하였다. 열처리는 RTP를 이용하여 50$0^{\circ}C$ ~78$0^{\circ}C$ 까지 4$0^{\circ}C$ 구간으로 N2 분위기에서 30초 동안 열처리를 한후, selective metal strip XRD, TEM의 분석장비를 이용하여 관찰하였다. lst RTP후 selective metal strip 후 면저항의 측정과 XRD 분석결과 낮은 면저항을 갖는 CoSi2로의 상전이는 TiN capping과 Co 단일박막이 일반적인 Si(100)기판과 interfacial oxide가 존재하는 Si(100)기판위에서 Ti capping의 경우보다 낮은 온도에서 일어났다. 또한 CoSi에서 CoSi2으로 상전이는 일반적인 Si(100)기판위에서 보다 interfacial Oxide가 존재하는 Si(100)기판 위에 TiN capping과 Co 단일박막의 경우 열처리 후에도 Oxide가 존재하는 불균인한 CoSi2박막을 관찰하였으며, Ti capping의 경우 Oxise가 존재하지 않는 표면과 계면이 더 균일한 CoSi2 박막을 형성 할 수 있었다. -
비접촉식 온도센서는 물체에서 방출하는 적외선 등의 복사신호를 열에너지로 전환하고 이를 다시 전기신호로 2차 에너지 변환하여 온도를 감지하는 센서로 인체 검지를 응용한 다양한 상품 및 교통, 방재, 빌딩 시스템 등의 분야에 널리 응용되고 있다. 비접촉식 적외선 센서는 열에너지를 전기에너지로 변환하는 방법에 따라 양자형과 열형으로 구분되며, 이중 양자형은 광전도나 광기전력 효과 등을 이용하여 감도 및 응답성이 우수하다는 장점을 지니고 있지만, 소자부를 80K 이하 온도로 유지시키는 냉각을 필요로 하므로 대형 제작이 불가피하고 그 용도가 제한적이다. 열형은 냉각이 필요 없고 소형으로 제작가능한 장점을 지니고 있어 써모 파일이나 초전체를 이용한 번용 센서가 보급되고 있다. 그러나 써모파일의 경우 출력되는 전기 신호가 미약하여 감도 및 응답성을 향상하기 위해 구조가 복잡하고, 특히 모터초퍼나 저항을 전압으로 변환시키는 전력기 등이 필요로 하는 단점을 지니고 있다. 따라서 이러한 문제점을 보완하기 위해 열전재료 박막을 이용한 적외선 센서를 개발하려는 노력이 진행중에 있다. 열전박막을 이용한 적외선 센서는 열전재료의 Seebeck 현상을 이용하여 열에너지에서 전기에너지의 변환이 자가발전으로 이루어져 offset과 외부 바이어스를 필요로 하지 않는다. 또한 작은 온도 변화에도 그 감도와 응답성이 높고, 출력신호가 커서 증폭기 등이 불필요한 장점을 지니고 있다. 특히 초전형 센서가 상온에서도 기판에 대한 열 확산을 제어해야 하는 문제점을 갖는 반면, 열전박막형 적외선 센서는 고온에서도 안정된 출력 신호를 얻을 수 있어 그 활용 온도 범위가 크게 확대될 것으로 기대된다. 본 실험에서는 우수한 열전특성을 갖는 (Bi1-xSbx)2Te3 박막을 얻기 위해 열팽창계수가 작고 알칼리 원소가 0.3% 이하로 포함되어 있는 corning glass(# 7059)를 기판으로 사용하였다. 또한 최적의 열전특성을 나타내는 조성을 실험적으로 구하기 위해 (Bi0.2Sbx)2Te3 조성의 합금 타? 위에 Bi2Te3 및 Sb2Te3 chip을 올려놓고 그 면적을 변화시켜 다양한 조성의 열전박막을 증착하였다. 열전박막의 증착시 산화와 오염에 의한 열전특성 변화를 최소화하기 위해 초기진공도를 1
$\times$ 10-6 Torr로 하였으며, Ar 가스를 흘려주어 2$\times$ 102 Torr 의 증착진공도를 유지하였다. 열전박막을 증착하기 전에 기판을 10분간 200W의 출력으로 RF 처리하였으며, 30$0^{\circ}C$ 에서 33 /sec의 속도로 (Bi1-xSbx)2Te3 박막을 증착하였다. 이와 같이 제조된 (Bi1-xSbx)2Te3 박막의 미세구조를 SEM으로 관찰하고 EDS로 조성을 분석하였으며, XRD를 이용하여 결정성을 관찰하였다. 또한 (Bi1-xSbx)2Te3 박막의 Seebeeck 계수 및 전기비저항을 측정하고 증착된 박막조성, 결정상, 미세구조와 열전특성간의 상관관계를 고찰하였다. -
Deep submicron device contact hole에서의 bottom step coverage의 향상 및 SALICIDE공정의 필요성에 의해 collimated sputtering 및 ionized sputtering 등의 다양한 증착방법이 연구되어왔다. 반도체소자의 고집적화 및 미세화에 따라서 기존의 증착방법보다 더 높은 throughput을 가진 새로운 증착방법의 필요성이 대두되고 있다. Collimated sputtering방식으로 증착한 박막의 경우에는 증착속도가 느리고 collimator의 사용기간에 따른 공정조건의 변화가 단점으로 작용하였고 새로이 ionzied sputtering방식이 개발되었다. ionzied sputtering방식은 증착되는 금속 입자를 이온화시키고 기판에 바이어스를 걸어서 증착되는 입자의 방향성 및 증착속도의 향상을 얻을 수 있었다. 하지만 고집적도가 더욱 증가함에 따라서 더 높은 박막의 증착속도, bottom step coverage의 향상, 방향성의 향상과 더불어 증착되는 입자의 이온화 율의 증가 및 기존의 증착방식에 의한 박막보다 향상된 물성을 가진 박막증착의 필요성에 의해 hollow cathode magnetron sputtering방식이 연구되었다. HCM방식으로 titanium 박막을 증착하여 collimated sputtering 및 ionize sputtering 방식으로 증착한 titanium 박막과 물성을 비교해서 증착방식에 따른 박막물성의 차이를 연구하였다. 증착전에 기판온도는 30
$0^{\circ}C$ 를 유지하였고 base pressure는 5.0$\times$ 10-9torr, working pressure는 5.7m torr로 유지하였다. power는 30kW를 가하여 50nm두께의 titanium박막을 증착하였다. 증착된 박막의 미세구조는 TEM 및 XRD로 분석하였다. HCM방식으로 증착한 titanium박막은 5nm두께의 비정질 층이 관찰되었고 ionized sputtering방식으로 증착한 titatnium박막에서 나타나는 것으로 보고된 silicon (002)와 titanium (0002) eledtron diffraction spot사이의 (10-10)spot은 관찰되지 않았다. 박막은 크고 작은 grain의 연속적 분포를 가졌고 HCM방식으로 증착한 titanium박막의 in-plane grain size가 다른 증착방식으로 증착한 박막에 비해 크게 관찰됨을 Plan-view TEM 분석을 통해서 확인되었다. -
Since its discovery in 1991, the carbon nanotube has attracted much attention all over the world; and several method have been developed to synthesize carbon nanotubes. According to theoretical calculations, carbon nanotubes have many unique properties, such as high mechanical strength, capillary properties, and remarkable electronical conductivity, all of which suggest a wide range of potential applications in the future. Here we report the synthesis in the catalytic decomposition of acetylene at ~65
$0^{\circ}C$ over Ni deposited on SiO2, For the catalyst preparation, Ni was deposited to the thickness of 100-300A using effusion cell. Different approaches using porous materials and HF or NH3 treated samples have been tried for synthesis of carbon nanotubes. It is decisive step for synthesis of carbon nanotubes to form a round Ni particles. We show that the formation of round Ni particles by heat treatment without any pre-treatment such as chemical etching and observe the similar size of Ni particles and carbon nanotubes. Carbon nanotubes were synthesized by chemial vapour deposition ushin C2H2 gas for source material on Ni coated Si substrate. Ni film gaving 20~90nm thickness was changed into Ni particles with 30~90nm diameter. Heat treatment of Ni fim is a crucial role for the growth of carbon nanotube, High-resolution transmission electron microscopy images show that they are multi-walled nanotube. Raman spectrum shows its peak at 1349cm-1(D band) is much weaker than that at 1573cm-1(G band). We believe that carbon nanotubes contains much less defects. Long carbon nanotubes with length more than several$\mu$ m and the carbon particles with round shape were obtained by CVD at ~$650^{\circ}C$ on the Ni droplets. SEM micrograph nanotubes was identified by SEM. Finally, we performed TEM anaylsis on the caron nanotubes to determine whether or not these film structures are truly caron nanotubes, as opposed to carbon fiber-like structures. -
반도체 소자의 고집적화는 배선에서 많은 문제점을 야기 시킨다. 이러한 문제점들 중에서 대표적인 것이 과도한 전류밀도에 의한 electro-migration(EM)이다. 이는 앞으로 배선의 선폭이 0.25
$mu extrm{m}$ 미만일 경우 더욱 심화될 전망이다. 이에 대안으로 Al-합금에서 Cu로 대체하여 이러한 문제를 해결하려 하고 있다. 그런데, Cu는 Si 및 SiO2와 높은 반응성과 빠른 확산속도를 가지기 때문에 확산방지막이 필요로 되어진다. 현재에는 TiN, TaN 등의 확산방지막이 사용되어지고 있으나, TiN 박막의 경우 표면에 Ti와 oxide와의 결합에 의해 Ti-O 성분이 존재하는데, 이럴 경우 Cu 증착을 하는데 있어 부정적인 요인이 된다. 또한, 이러한 화합물은 Cu와 TiN 계면사이에 밀착성을 나쁘게 하여 고전류 인가시 EM에 있어 높은 저항성을 가질 수가 없다. 따라서, 본 연구는 MOCVD방식으로 Cu 박막을 증착하기에 앞서 수소플라즈마를 이용하여 TiN 표면에 형성된 산소 화합물을 제거한 후 Cu를 증착하여 동일한 조건에서 EM 가속화 실험을 하였다. 그림 1은 Cu/TiN 구조에 있어 수소 전처리를 한 배선의 구조의 MTF(mean time to failure)가 65분이고 전처리를 하지 않은 배선구조는 40분으로 약 50% 긴 MTF를 가지는 것으로 나왔다. 결론적으로 Cu와 TiN 계면에 좋은 밀착성은 EM에 있어 우수한 저항성을 가지는 것으로 나왔다. -
Electrochromism이란 기전력 방향에 의한 가역적으로 색이 변하는 현상을 말하며, 열린 회로 기억성을 가지며 소비전력이 적고, 우수한 착색 효율을 갖는 등 여러 가지 유용성 때문에 디스플레이 및 전기적 착색 유리창에의 기술적 적용 가능성을 보이고 있다. 본 연구에서는 가장 우수한 일렉트로크로믹 특성을 내는 것으로 알려진 WO3 박막과 대향 전극으로 V2O5 박막을 사용하였다. 이들 박막은 알칼리 이온 주입물질이며, coloration.bleaching상태에서 광학 밀도가 크고, 내구성이 좋으며, 작은 비용으로 재료를 사용할 수 있다. 그리고 더 우수한 장점으로 부각되는 대면적의 코팅의 매력적인 기술인 졸겔법으로 제조 가능한 특성을 가지고 있다. 졸겔법 및 진공증착법으로 박막을 제조하고, 박막산화 및 수명저하 등의 위험이 적은 리튬이온을 이용하여 소자를 제작한 후 일렉트로크로믹 특성을 조사하고, 우수한 소자의 제조조건을 얻고자 하였다. 측정결과 졸겔법으로 제조된 WO3 박막과 V2O5 박막을 수증기 분위기에서 50
$0^{\circ}C$ 로 1시간 열처리한 경우 가장 우수한 투과 변화율을 나타내었다. 정상전압인 2 volt보다 높은 3 volt로 cyclic voltamogram을 측정하는 과정에서 정.역방향 동작을 거듭할수록 peak이 크게 감소하는 현상을 발견하였으며, 양이온의 흐름에 의해 물질의 이동이 발생할 것이라는 판단아래 Auger depth profile을 측정한 결과 WO3막의 텅스텐과 ITO막의 인듐이 상호 확산하는 것을 관찰할 수 있었다. 이를 방지하기 위해 수백 의 텅스텐 박막을 WO3 막 위에 증착한 후 cyclic voltamogram과 Auger depth profile을 측정한 결과, cyclic voltamogram의 peak의 감소량이 1/10이하로 감호하였으며, 리튬이온의 흐름에 의한 인듐과 텅스텐의 이동을 효과적으로 방지할 수 있었다. 따라서 텅스텐 확산방지막의 삽입이 소자의 수명을 향상시킬 수 있는 효과적인 방법이라고 사료된다. -
CeO2는 cubic 구조의 일종인 CaR2 구조를 가지고 있으며 격자상수가 Si의 격장상수와 매우 비슷하여 Si 기판위에 에피텍셜하게 성장할 수 있는 가능성이 매우 크다. 따라서 SOI(silicon-on-insulator)구조의 실현을 위하여 Si 기판위에 CeO2 박막을 에피텍셜하게 성장시키려는 많은 노력이 있어왔다. 또한 metal-ferroelectric-semiconductor field effect transistor)에서 ferroelectric 박막과 Si 기판사이의 완충층으로 사용된다. 이러한 CeO2의 응용을 위해서는 Si 기판 위에 성장된 CeO2 박막의 방위성 및 CeO2/Si 구조의 전기적 특성을 알아보는 것이 매우 중요하다. 본 연구에서는 Si(100) 기판위에 CeO2(200)방향으로 성장하는 박막과 EcO2(111) 방향으로 성장하는 박막을 rf magnetron sputtering 방법으로 증착하여 각각의 구조적, 전기적 특성을 분석하였다. RCA 방법으로 세정한 P-type Si(100)기판위에 Ce target과 O2를 사용하여 CeO2(200) 및 CeO2(111)박막을 증착하였다. 증착후 RTA(rapid thermal annealing)방법으로 95
$0^{\circ}C$ , O2 분위기에서 5분간 열처리를 하였다 이렇게 제작된 CeO2 박막의 구조적 특성을 XRD(x-ray diffraction)방법으로 분석하였고, Al/CeO2/Si의 MIS(metal-insulator-semiconductor)구조를 제작하여 C-V (capacitance-voltage), I-V (current-voltage) 특성을 분석하였으며 TEM(transmission electron microscopy)으로 증착된 CeO2막과 Si 기판과의 계면 특성을 연구하였다. C-V특성에 있어서 CeO2(111)/Si은 CeO2(111)의 두께가 증가함에 따라 hysteresis windows가 증가한 방면 CeO2(200)/Si은 hysteresis windows가 아주 작을뿐만 아니라 CeO2(200)의 두께가 증가하더라도 hysteresis windos가 증가하지 않았다. CeO2(111)/Si과 CeO2(200)/Si의 C-V 특성의 차이는 CeO2(111)과 CeO2(200)이 Si 기판에 의해 받은 stress의 차이와 이에 따른 defect형성의 차이에 의한 것으로 사료된다. -
펄스레이저 증착법(이하 PLD)을 이용하여 마이크로파 유전체 소자 및 절연 산화막으로의 응용을 위한 MgTiO3 박막을 다양한 기판상에서 증착하였다. 사파이어 기판에 (a,c-plane Al2O3) 성장된 MgTiO3 박막은 에피텍셜 성장(epitaxial growth)이 되었으며, SiO2/Si 및 Pt/Ti/Si 기판위세 성장된 MgTiO3 박막의 경우 003방향으로 배향(oriented) 되었다. MgTiO3 박막은 450~75
$0^{\circ}C$ 까지 기판온도를 변화시키면서 증착시켰으며, 증착시 산소분압은 50~200 mTorr로 변화시켰다. PLD 증착시 타켓에 조사된 레이저 에너지 밀도는 약 2J/cm2였으며, MgTiO3 박막 증착후 200Torr O2 분위기에서 상온까지 1$0^{\circ}C$ /min 의 속도로 냉각시켰다. 사파이어 c-plane 상에서 일머나잇(ilminite) MgTiO3 구조가 55$0^{\circ}C$ 에피텍셜 성장하는 것을 관찰할 수 있었으며, 사파이어 a-plane 상에서는 MgTiO3 구조가$650^{\circ}C$ 이상부터 110방향으로 배향되며 성장하였다.$600^{\circ}C$ 이상에서 c-축으로 배향된 구조를 갖고 있었다. 증착된 MgTiO3 박막의 조성분석(stoichio metric analysis)을 위해 RBS 분석을 수행하여, 증착에 이용된 타켓과 동일한 조성을 갖는 MgTiO3 박막이 성장된 것을 확인할 수 있었다. 사파이어 기판상에 증착된 MgTiO3 박막은 가시영역에서 투명하였으며, 약 270nm 파장을 갖는 영역에서 급격한 흡수단을 보였다. 이때의 MgTiO3 박막은 AFM 분석을 통해 약 0.87mn rms roughness 값을 갖는 매우 평탄한 표면구조를 갖고 있는 것을 확인하였다. MIM(Pt/MgTiO3/Pt) 구조의 캐패시터를 형성시켜 MgTiO3 박막의 유전특성(dielectric properties)을 관찰하였다. PLD로 성장된 MgTiO3 박막의 유전율(relative dielectric constant)은 약 22였으며, 1MHz에서 약 1.5%의 유전손실(dielectirc loss) 값을 보였다. 또한 이때 MgTiO3 박막은 낮은 유전분산값을 보였다. -
(Ba, Sr)TiO3 (BST)[1-3] 박막은 유전상수가 크고 고주파에서도 유전특성 저하가 적기 때문에 ULSI DRAM(Dynamic Random Access Memory)에 응용 가능한 물질로 최근 각광을 받고 있다. 하지만, 아직 BST 박막을 DRSM에 바로 적용하기 위해선 몇 가지 문제점이 있다. 그 중 누설전류 문제는 디바이스 응용시 매우 중요한 요소이다. 특히, DRAM에서 refresh time와 직접적인 관련이 있어 디바이스 내의 신뢰도 및 전력소모를 결정하는 주된 인자가 된다. 지금까지, BST 박막의 인가전업, 온도, 그리고 전극물질에 따른 누설전류 현상들이 고찰되었고, 이에 관한 많은 전도기구 모델들이 제시되었다. Schottky emission, Poole-Frenkel emission, space charge limited conduction 등이 그 대표적인 예이다. 하지만 아쉽게도 BST 박막의 정확한 누설 전류 전도 기구를 완전히 설명하는데는 아직 한계가 있다. 따라서 본 연구에서는 제작된 BST 커패시터 내의 기본적인 전기적 성질을 조사하고, 정확한 누설전류 기구 규명에 초점을 두고자 한다. 이를 위해 기존의 여러 기구들과 비교 분석할 것이다. 하부전극으로 사용하기 위해 스퍼터링 방법으로 p-Si(100) 기판위에 RuO2 박막을 약 120nm 증착하였다. 증착전의 chamberso의 초기압력은 5
$\times$ 10-6 Torr이하의 압력으로 유지시켰다. Ar/O2의 비는 이전 실험에서 최적화된 9/1로 하였다. BST 박막 증착 시 5분간 pre-sputtering을 실시한 후 하부전극 기판위에 BST 박막을 증착하였다. 증착이 끝난 후 시편을 상온까지 냉각시킨 후 꺼내었다. 전기적 특성을 측정하기 상부전극으로 RuO2와 Al 박막을 각각 상온에서 100nm 증착하였다. 이때 hole mask를 이용하여 반경이 140um인 원형의 상부전극을 증착하였다. BST 박막의 증착온도가 증가하고 Ar/O2 비가 감소할수록 제작된 BST-커패시터의 전기적 성질이 우수하였다. 증착온도$600^{\circ}C$ , ASr/O2=5/5에서 증착된 막의 누설전류는 4.56$\times$ 10-8 A/cm2, 유전상수는 600 정도의 값을 나타내었다. 인가전압에 따른 BST 커패시터의 transition-current는 Curie-von Schweider 모델을 따랐다. BST 박막의 누설전류 전도기구는 기존의 Schottky 모델이 아니라 modified-Schottky 무델로 잘 설명되었다. Modified-Schottky 모델을 통해 BST 박막의 광학적 유전율$\varepsilon$ $\infty$ =4.9, 이동도$\mu$ =0.019 cm2/V-s, 장벽 높이$\psi$ b=0.79 eV를 구하였다. -
본 연구에서는 차세대 마이크로파 유전체 소자로서의 응용을 목적으로 펄스 레이저 방식에 의하여 증착된 MgTiO3 박막의 전기적 특성을 종합적으로 연구 분석하였다. 이를 바탕으로 MgTiO3 박막의 유전손실 등과 같은 열화를 야기시키는 박막 내부 또는 박막과 기판간의 결함의 특성을 파악하여 열화 메카니즘을 분석하였다. MgTiO3는 마이크로파 영역에서의 우수한 유전특성과 같은 낮은 유전손실을 가지며, 온도 안정성 또한 우수하다. 현재까지 벌크 세라믹 MgTiO3 의 응용 광범위하게 연구되어 왔으나 박막의 제조공정 및 전기적 특성 분석은 미흡한 형편이다. 따라서 벌크 세라믹과는 특성이 상이한 박막의 전기적 특성분석 및 연구가 필요하다. 분석을 위한 소자의 기본 구조로서 Metal-Insulator-Semiconductor(MIS) 구조를 채택하였다. MgTiO3 박막을 증착하기 위한 기판으로는 n형 Si(100)기판과 p형 Si(100)기판을 사용하였고, Si 기판 위에 급속 열처리기 (RTP)를 이용하여 SiO2를 ~100 두께로 성장시킨 것과 성장시키지 않은 것으로 구분하여 제작하였다. MgTiO3 박막은 펄스 레이저 증착 방식(PLD)에 의하여 약 2500 두께로 증착되었으며, 200mTorr 압력의 산소 분위기 하에서 기판의 온도를 40
$0^{\circ}C$ ~55$0^{\circ}C$ 까지 5$0^{\circ}C$ 간격으로 변화시키며 제작하였다. 상하부의 전극 금속으로는 Al을 이용하였으며, 열증발 증착기로 증착하였다. 증착된 MgTiO3 박막의 결정구조를 확인하기 위하여 XRD 분석을 수행하였으며, 박막의 전기적 특성을 분석하기 위해 Boonton7200 C-V 측정기와 HP4140P를 이용한 경우에는 C-V 곡선에 이력현상이 나타났으나, MgTiO3/SiO2를 이용한 경우에는 이력현상이 나타나지 않았고, 유전율은 감소하는 것으로 나타났다. I-V 측정 결과, 절연층으로 MgTiO3/SiO2를 이용한 경우에는 MgTiO3만을 절연층으로 사용한 경우에 비해 동일한 전계에서 낮은 누설전류 값을 가짐을 알 수 있었다. 또한 박막의 증착온도가 증가함에 따라서 C-V 곡선의 위치가 양의 방향으로 이동함을 확인하였다. 위의 현상은 기판의 종류에 관계없이 발생하는 것으로 보아 벌크 또는 계면에 존재하는 결함에 의한 것으로 추정된다. 현재 C-V 곡선의 이동 원인과 I-V 곡선의 누설전류 메카니즘을 분석 중에 있으며 그 결과를 학회에서 발표할 예정이다. -
ITO(Indium-Tin-Oxide)는 n-type 전도 특성을 갖는 산화물 반도체로서 가시광 영역에서의 높은 광투과율 및 낮은 전기 비저항을 나타내기 때문에 태양전지, 액정디스플레이(liquid crystal display), 터치스크린(touch screen) 등의 투명전극 재료, 전계 발광(electroluminescent) 소자, 표면발열체, 열반사 재료 등 다양한 분야에 응용되고 있다. 본 연구에서는 타겟 제작에 드는 비용을 줄이고, 타겟 이용의 효율성을 높이기 위해 기존의 세라믹 타겟 대신 분말 타겟을 사용하여 유리 기판 상에 ITO 박막을 DC magnetron sputtering법에 의해 제조하고, 열처리 온도 및 열처리 분위기에 따른 ITO 박막의 전기적 광학적 특성을 조사하였다. 열처리 온도가 10
$0^{\circ}C$ 이하인 경우 열처리하지 않은 시편과 동일하게 In2O3의 (411)면에 해당하는 peak가 관찰되었다. 그러나 20$0^{\circ}C$ 의 온도로 열처리 할 경우 (411)면 peak의 세기는 상대적으로 감소하고 대신 이전에 나타나지 않았던 (222)면에 대응하는 peak 세기가 현저하게 증가함을 알 수 잇다. 이것은 ITO 박막의 경정성장이 열처리 전 (411)면 방향으로 이루어지나 20$0^{\circ}C$ 의 온도로 열처리 후 재결정화에 의해 (222)면 방향으로의 우선방위를 갖고 성장함을 의미한다. 또한 주로 높은 기판온도에서 관찰되었던 (211), (400), (411), (440), (622)면 등에 해당하는 peak가 나타남을 볼 수 있었다. 열처리 온도를 더욱 증가시킴에 따라 결정구조에는 큰 변화 없이 (222)면 peak 세기가 증가하였다. 한편 열처리 온도를 더욱 증가시킴에 따라 (222)면 peak 세기가 상대적으로 조금 감소할뿐 XRD회절 결과에는 큰 변화를 관찰할 수 없었다. 이러한 결과로부터 기판을 가열하지 않고 증착한 ITO 박막의 재결정화에 필요한 최소의 열처리 온도는 20$0^{\circ}C$ 이며, 그 이상의 열처리 온도는 ITO박막의 결정구조에 큰 영향을 미치지 않음을 알 수 있었다. 열처리 전 비저항은 1.1$\times$ 10-1$\Omega$ -cm 의 값을 가지거나 10$0^{\circ}C$ 의 온도로 열처리함에 따라 9.8$\times$ 102$\Omega$ -cm 로 약간 감소하였다. 열처리 온도를 20$0^{\circ}C$ 로 높임에 따라 비저항은 급격히 감소하여 1.7$\times$ 10-3$\Omega$ -cm의 최소값을 나타내었다. 열처리 온도가 10$0^{\circ}C$ 인 경우 가시광 영역에서의 광투과율은 열처리하지 않은 시편과 비교해 볼 때 약간 증가하였다. 열처리 온도는 20$0^{\circ}C$ 로 증가시킴에 따라 투과율은 크게 향상되어 흡수단 이상의 파장영역에서 90% 이상의 투과율을 나타내었다. 이러한 광투과율의 향상은 앞서 증착된 ITO 박막이 열처리 중 재결합에 의해 우선 성장 방위가 (411)면 방향에서 (222)면 방향으로 변화되었기 때문으로 생각된다. 그러나 열처리 온도를 20$0^{\circ}C$ 이상으로 증가시켜도 광투과율은 큰 변화를 나타내지 않았다. -
안티퓨즈 소자는 프로그램 가능한 절연층의 상하 각각에 금속층이나 다결정 실리콘 등의 전도 가능한 전극으로 구성된다. 프로그램은 상하 전극간에 임계전압을 가했을 때 일어나게 되며 이때 절연층이 파괴되므로 비가역적이어서 재사용은 불가능하게 된다. 안티퓨즈 소자는 이러한 프로그램 특성으로 인하여 메모리 소자를 이용한 스위치 보다 속도나 집적도 면에서 우수하다. FPGAsdp 사용되는 안티퓨즈 소자는 집적도의 향상과 적정 절열파괴전압 구현을 위해 절연막의 두께를 감소시키는 것이 바람직하다. 그러나 두께나 감소될 경우 바닥전극의 hillock에 큰 영향을 받게 되며, 그로 인해 절연막의 두께를 감소시키는 것는 한계가 있는 것으로 보고되어 있다. 본 논문에서는 낮은 구동 전압에서 동작하고 안정된 on/pff 상태를 갖는 Al/TiO2-SiO2/Mo 형태의 안티퓨즈 소자를 제안하였다. 만들어진 antifuse cell은 0.6cm2 크기로 약 300개의 샘플을 제작하여 측정하였다. 비저항이 6-9
$\Omega$ -cm인 P형의 실리콘 웨이퍼에 RF 마그네트론 스퍼터링(RF magnetron sputtering) 방법으로 하부전극인 Mo를 3000 증착하였다. SiO2는 안티퓨즈에서 완충막의 역할을 하며 구조적으로 antifuse cell을 완전히 감싸고 있는 형태로 제작되었다. 완충막 구조를 만들기 dln해 일반적인 포토리소그라피(Photo-lithography)작업을 거처 형성하였다. 형성된 hole의 크기는 5$mu extrm{m}$ $\times$ 5$\mu\textrm{m}$ 이었다. 완충막이 형성된 기판위에 안티퓨즈 절연체인 SiO2를 PECVD 방식으로 100 증착하였다. 그 후 이중 절연막을 형성시키기 위해 LPCVD를 이용하여 TiO2를 150 증착시켰다. 상부 전극은 thermal evaporation 방식으로 Al을 250nm 증착하여Tejk. 하부전극으로 사용된 Mo 금속은 표면상태가 부드럽고 녹는점이 높은 매우 안정된 금속으로, 표면위에 제조된 SiO2의 특성을 매우 안정되게 유지시켰다. 제안된 안티푸즈는 이중절연막을 증착함으로서 전체적인 절연막의 두께를 증가시켜 바닥전극의 hillock의 영향을 적게 받아 안정성을 유지할 수 있도록 하였다. 또한, 두 절연막 사이의 계면 반응에 의해 SiO2 막을 약화시켜 절연막의 두께가 두꺼워졌음에도 기존의 SiO2 절연막의 절연 파괴 전압 및 누설 전류오 비교되는 특성을 가졌다. 이중막을 구성하고 있는 안티퓨즈의 ON-저항이 단일막과 비교해 비슷한 것을 볼 수 잇는데, 그 이유는 TiO2에 포함된 Ti가 필라멘트에 포함되어 있어 필라멘트의 저항을 감소시켰기 때문으로 사료된다. 결국 이중막을 구성시 ON-저항 증가에 의한 속도 저하 요인은 없다고 할 수 있다. 5V의 절연파괴 시간을 측정한느 TDDB 테스트 결과 1.1$\times$ 103 year로 기대수치인 수십 년보다 높아 제안된 안티퓨즈의 신뢰성을 확보 할 수 있었다. 제안된 안티퓨즈의 이중 절연막의 두께는 250 이고 프로그래밍 전압은 9.0V이고, 약 65$\Omega$ 의 on 저항을 얻을수 있었다. -
낮은 유전상수(k
$\leq$ 3)와 높은 열적안정성(>4$25^{\circ}C$ )은 초고집적회로(ULSI)기술에서 RC 지연을 해결하기 위한 금속배선의 중간 절연층으로서의 2개의 가장 중요한 특성이다. 본 연구에서는 cyclohezane을 precursor로 사용하여 plasma enhanced chemical vapor deposition(PECVD)방법으로 유기박막을 성장시켰으며 낮은 유전상수와 높은 열적안정성을 동시에 확보하기 위하여 열적안정성은 좋지 않지만 유전상수가 낮은 박막(soft layer)위에 유전상수는 다소 높지만 열적안정성이 좋은 박막(hard layer)을 얇게 증착하여 hard layer/soft layer의 2층 구조를 형성하여서 구조적, 전기적 특성을 조사하였다. 유기박막은 5$0^{\circ}C$ 로 유지된 reactor 내부에서 argon(Ar) plasma에 의해 증착되었으며 platinum(Pt)기판과 silicon 기판위에 동시에 증착하였다. Pt 기판위에 증착한 시편으로 유전상수, I-V 등 전기적 특성을 측정하였고, silicon 기판위에 증착한 시편으로 열적안정성과 구조적 특성등을 분석하였다. 증착압력 0.2Torr에서 plasma power를 5W에서90W로 증가할 때 유전상수는 2.36에서 3.39로 증가하였으며 열적안정성은 90W에서 180W로 증가하였을 때 유전상수는 2.42에서 2.79로 증가하엿고 열적안정성은 모두30$0^{\circ}C$ 이하였다. 단일층 구조에서는 유전상수가 낮은 박막은 열적으로 불안정하고 열적 안정성이 좋은 박막은 유전상수가 다소 높은 문제가 나타났다. 이런 문제를 해결하기 위하여 2 Torr, 120W에서 증착한 유전상수가 2.55이고 열적으로 불안정한 박막을 soft layer로 5150 증착하고 그 위에 0.2Torr, 90W에서 증착한 유전상수가 3.39이고 열적으로 45$0^{\circ}C$ 까지 안정한 박막을 hard layer로 360 , 720 , 1440 증착하였다. 증착된 2층구조 박막의 유전상수는 각각 2.62, 2.68, 2.79이었으며 열적안정성 측정에서는 40$0^{\circ}C$ 까지 두께 감소가 보이지 않았다. 그러나 SEM 측정에서 열처리 후 표면이 거칠어지는 현상이 발견되었다. -
플라즈마 화학증착법(Plasma Enhanced Chemical Vapor Deposition, PECVD)을 이용하여 양질의 Si3N4 금속-유전막-금속(Metal-Insulator-Metal, MIM) 커페시터를 구현하였다. Fig.1에 나타낸 바와 같이 p형 실리콘 웨이퍼의 열 산화막 위에 1%의 실리콘을 함유하는 알루미늄을 스퍼터링으로 증착하여 전극을 형성하고 두 전극사이에 Si3N4 박막을 증착하여 MIM구조의 박막 커패시터를 제조하였다. Si3N4 유전막은 150Watt의 RF 출력하에서 반응 가스 N2/SiH4/NH3를 각각 300/10/80 sccm로 흘려주어 전체 압력을 1Torr로 유지하면서 40
$0^{\circ}C$ 에서 플라즈마 화학증착법을 이용하여 증착하였으며, Al과 Si3N4 층의 계면에는 Ti과 TiN을 스퍼터링으로 증착하여 확산 장벽으로 이용하였다. 각 시편의 커패시턴스 및 바이어스 전압에 따른 누설 전류의 변화는 LCR 미터를 이용하여 측정하였고 각 시편의 커패시턴스 및 바이어스 전압에 따른 누설 전류의 변화는 LCR 미터를 이용하여 측정하였고 각 시편의 유전 특성의 차이점을 미세구조 측면에서 이해하기 이해 극판과 유전막의 단면 미세구조를 투과전자현미경(Transmission Electron Microscope, TEM)을 이용하여 분석하였다. 유전체인 Si3N4 와 전극인 Al의 계면반응을 억제시키기 위해 TiN을 확산 장벽으로 사용한 결과 MIM커패시터의 전극과 유전체 사이의 계면에서는 어떠한 hillock이나 석출물도 관찰되지 않았다. Fig.2와 같은 커패시턴스의 전류-전압 특성분석으로부터 양질의 MIM커패시터 특성을 f보이는 Si3N4 의 최소 두께는 500 이며, 그 두께 미만에서는 대부분의 커패시터가 전기적으로 단락되어 웨이퍼 수율이 낮아진다는 사실을 알 수 있었다. TEM을 이용한 단면 미세구조 관찰을 통해 Si3N4 층의 두께가 500 미만인 커패시터의 경우에 TiN과 Si3N4 의 계면에서 형성되는 슬릿형 공동(slit-like void)에 의해 커패시터의 유전특성이 파괴된다는 사실을 알게 되었으며, 이러한 슬릿형 공동은 제조 공정 중 재료에 따른 열팽창 계수와 탄성 계수 등의 차이에 의해 형성된 잔류응력 상태가 유전막을 기준으로 압축응력에서 인장 응력으로 바뀌는 분포에 기인하였다는 사실을 확인하였다. -
최근 비정질 SiC 박막은 열과 광안정도면에서 비정질 Si 박막에 비해 우수하며 공정변수들을조절함으로써 비교적 쉽고 다양하게 광학적.전기적 특성을 얻을 수 있고, 낮은 광흡수계수 및 105(
$\Omega$ cm)1 이상의 높은 전도도를 가지고 있어 Plasma Enhanced Chemical Vapor Deposition(PECVD)을 통해 가전자제어 (Valency electron control)가 가능한 비정질 SiC 박막이 제작된 이래 대한 많은 연구가 진행되고 있다. 결정성이 없는 비정질 물질은 상대적으로 낮은 온도에서 성장이 가능하며, 특히 glow-sidcharge 방식으로 저온에서 성장시킬 수 있음에 따라 유리등과 같은 다른 저렴한 물질을 기판으로 이용, 넓은 면적의 비정질 SiC 박막을 성장시켜 여러 분야의 소자에 응용되고 있다. 비정질 SiC 박막이 넓은 에너지띠 간격을 갖는 물질이라는 점과 화학적 안정성 및 높은 경도, 비정질성에 기인한 대면적 성장의 용이성 등의 장점이외에, 원자의 성분비 변화에 의해 에너지띠 간격(1.7~3.1eV)을 조절할 수 있다는 점은 광전소자의 응용에 큰 잠재성이 있음을 나타낸다. PECVD 방식으로 성장된 비정질 SiC 박막은 태양전지의 Window층이나 발광다이오드, 광센서, 광트랜지스터 등에 응용되어 오고 있다. 본 연구에서는, RF-PECVD(ULVAC CPD-6018) 방법에 의하여 비정질 Si1-xCx 박막을 2.73Torr의 고정된 압력에서 RF 전력(50~300W), 증착온도(150~30$0^{\circ}C$ ), 주입 가스량 (SiH4:CH4)등의 조건을 다양하게 변화시켜가며 증착된 막의 특성을 평가하였다. 성장된 박막을 X-ray Photoelectron Spectroscopy(XPS), UV-VIS spectrophotometer, Ellipsometry, Atomic Force Microscopy(AFM)등을 이용하여 광학적 밴드갭, 광흡수 계수, Tauc Plot, 그리고 파장대별 빛의 투과도의 변화를 분석하였으며 각 변수가 변화함에 따라 광학적 밴드갭의 변화를 정량적으로 조사함으로써 분자결합상태와 밴드갭과 광 흡수 계수간의상관관계를 규명하였고, 각 변수에 따른 표면의 조도를 확인하였다. 비정질 Si1-xCx 박막을 증착하여 특성을 분석한 결과 성장된 박막의 성장률은 Carbonfid의 증가에 따라 다른 성장특성을 보였고, Silcne(SiH4) 가스량의 감소와 함께 박막의 성장률이 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 줄어들어 성장률이 Silane가스량에 의해 지배됨을 볼 수 있다. UV-VIS spectrophotometer에 의한 비정질 SiC 박막의 투과도와 파장과의 관계에 있어 유리를 기판으로 사용했으므로 유리의투과도를 감안했으며, 유리에 대한 상대적인 비율 관계로 투과도를 나타냈었다. 또한 비저질 SiC 박막의 흡수계수는 Ellipsometry에 의해 측정된 Δ과 Ψ값을 이용하여 시뮬레이션한 결과로 비정질 SiC 박막의 두께를 이용하여 구하였다. 또한 Tauc Plot을 통해 박막의 optical band gap을 2.6~3.7eV로 조절할 수 있었다. -
Titanium oxide (TiO2) thin films have valuable properties such as a high refractive index, excellent transmittance in the visible and near-IR frequency, and high chemical stability. Therefore it is extensively used in anti-reflection coating, sensor, and photocatalysis as electrical and optical applications. Specially, TiO2 have a high dielectric constant of 180 along the c axis and 90 along the a axis, so it is highlighted in fabricating dielectric capacitors in micro electronic devices. A variety of methods have been used to produce patterned self-assembled monolayers (SAMs), including microcontact printing (
$\mu$ CP), UV-photolithotgraphy, e-beam lithography, scanned-probe based micro-machining, and atom-lithography. Above all, thin film fabrication on$\mu$ CP modified surface is a potentially low-cost, high-throughput method, because it does not require expensive photolithographic equipment, and it produce micrometer scale patterns in thin film materials. The patterned SAMs were used as thin resists, to transfer patterns onto thin films either by chemical etching or by selective deposition. In this study, we deposited TiO2 thin films on Si (1000 substrateds using titanium (IV) isopropoxide ([Ti(O(C3H7)4)] ; TIP as a single molecular precursor at deposition temperature in the range of 300-$700^{\circ}C$ without any carrier and bubbler gas. Crack-free, highly oriented TiO2 polycrystalline thin films with anatase phase and stoichimetric ratio of Ti and O were successfully deposited on Si(100) at temperature as low as 50$0^{\circ}C$ . XRD and TED data showed that below 50$0^{\circ}C$ , the TiO2 thin films were dominantly grown on Si(100) surfaces in the [211] direction, whereas with increasing the deposition temperature to$700^{\circ}C$ , the main films growth direction was changed to be [200]. Two distinct growth behaviors were observed from the Arhenius plots. In addition to deposition of THe TiO2 thin films on Si(100) substrates, patterning of TiO2 thin films was also performed at grown temperature in the range of 300-50$0^{\circ}C$ by MOCVD onto the Si(100) substrates of which surface was modified by organic thin film template. The organic thin film of SAm is obtained by the$\mu$ CP method. Alpha-step profile and optical microscope images showed that the boundaries between SAMs areas and selectively deposited TiO2 thin film areas are very definite and sharp. Capacitance - Voltage measurements made on TiO2 films gave a dielectric constant of 29, suggesting a possibility of electronic material applications. -
다결정 실리콘-게르마늄 (poly-SiGe)은 태양전지 개발에 있어서 중요한 물질이다. 우리는 소량의 Ge(x=0.05)으로부터 다량의 Ge(x=0.67)을 함유한 수소화된 비정질 실리콘-게르마늄 (a-SiGe:H) 박막의 고상결정화 과정을 ESR (electron spin resonance)방법으로 조사해보았다. 먼저 PECVD 방법으로 Corning 1737 glass 위에 a-Si1-xGex:H 박막을 증착시켰다. 증착가스는 SiH4, GeH4 가스를 썼으며, 기판온도는 20
$0^{\circ}C$ , r.f. 전력은 3W, 증착시 가스압력은 0.6 Torr 정도이었다. 증착된 a-SiGe:H 박막은$600^{\circ}C$ N2 분위기에서 다시 가열되어 고상결정화 되었고, 결정화 정도는 XRD (111) peak의 세기로부터 구해졌다. ESR 측정은 상온 x-band 영역에서 수행되었다. 측정된 ESR스팩트럼은 두 개의 Gaussian 함수로써 Si dangling-bond와 Ge dangling-bond 신호로 분리되었다. 가열 초기의 a-SiGe:H 박막 결함들의 스핀밀도의 증가는 수소 이탈에 기인하고, 또 고상결정화 과정에서 결정화된 정도와 Ge-db 스핀밀도의 변화는 서로 깊은 상관관계가 있음을 알 수 있었다. 특히 Ge 함유량이 큰 박막 (x=0.21, 0.67)에서 뿐만 아니라 소량의 Ge이 함유된 박막(x=0.05)에서도 Ge dangling-bond가 Si dangliong-bond 보다 고상결정화 과정에서 더 중요한 역할을 한다는 것을 알수 있었다. 또한 초기 열처리시 Si-H, Ge-H 결합에서 H의 이탈로 인하여 나타나는 Si-dangling bond, Ge-dangling bond 스핀밀도의 최대 증가 시간은 x 값에 의존하였는데 이러한 결과는 x값에 의존하는 Si-H, Ge-H 해리에너리지로 설명되어 질 수 있다. 층의 두께가 500 미만인 커패시터의 경우에 TiN과 Si3N4 의 계면에서 형성되는 슬릿형 공동(slit-like void)에 의해 커패시터의 유전특성이 파괴된다는 사실을 알게 되었으며, 이러한 슬릿형 공동은 제조 공정 중 재료에 따른 열팽창 계수와 탄성 계수 등의 차이에 의해 형성된 잔류응력 상태가 유전막을 기준으로 압축응력에서 인장 응력으로 바뀌는 분포에 기인하였다는 사실을 확인하였다.SiO2 막을 약화시켜 절연막의 두께가 두꺼워졌음에도 기존의 SiO2 절연막의 절연 파괴 전압 및 누설 전류오 비교되는 특성을 가졌다. 이중막을 구성하고 있는 안티퓨즈의 ON-저항이 단일막과 비교해 비슷한 것을 볼 수 잇는데, 그 이유는 TiO2에 포함된 Ti가 필라멘트에 포함되어 있어 필라멘트의 저항을 감소시켰기 때문으로 사료된다. 결국 이중막을 구성시 ON-저항 증가에 의한 속도 저하 요인은 없다고 할 수 있다. 5V의 절연파괴 시간을 측정한느 TDDB 테스트 결과 1.1$\times$ 103 year로 기대수치인 수십 년보다 높아 제안된 안티퓨즈의 신뢰성을 확보 할 수 있었다. 제안된 안티퓨즈의 이중 절연막의 두께는 250 이고 프로그래밍 전압은 9.0V이고, 약 65$\Omega$ 의 on 저항을 얻을수 있었다.보았다.다.다양한 기능을 가진 신소재 제조에 있다. 또한 경제적인 측면에서도 고부가 가치의 제품 개발에 따른 새로운 수요 창출과 수익률 향상, 기존의 기능성 안료를 나노(nano)화하여 나노 입자를 제조, 기존의 기능성 안료에 대한 비용 절감 효과등을 유도 할 수 있다. 역시 기술적인 측면에서도 특수소재 개발에 있어 최적의 나노 입자 제어기술 개발 및 나노입자를 기능성 소재로 사용하여 새로운 제품의 제조와 고압 기상 분사기술의 최적화에 의한 기능성 나노 입자 제조 기술을 확립하고 2차 오염 발생원인 유기계 항균제를 무기계 항균제로 대체할 수 있다. 이와 더불 -
탄화규소는 그 전기적, 열적 기계적 안정성 때문에 새로운 반도체 재료로서 주목받고 있는 물질이다. 탄화규소를 이용하여 전자소자를 제조하기 위해서는 ohmic 접촉과 Schottky 접촉을 형성하는 전극물질의 개발이 선행되어야 하며, 고온, 고주파, 고출력용 반도체 소자를 제조하기 위해서는 전극의 고온 안정성 확보가 필수적이다. 따라서 탄화규소 소자의 응용범위는 전극에 의해서 제한된다고 할 수 있다. 일반적으로 전극을 증착한 후 원하는 접촉 특성을 얻기 위해서는 열처리 과정을 거쳐야 하며 접촉의 특성이 열처리에 의해 영향을 받는 것으로 알려져 있다. 따라서 본 연구에서는 열처리가 금속/탄화규소 접촉의 특성에 미치는 영향을 알아보고자 하였으며, 이를 바탕으로 우수한 Schottky 다이오드의 제작 가능성을 타진해보고자 하였다. 유기실리콘 화합물 원료인 TEMSM(bis-trimethysilylmethane)을 사용하여 실리콘 기판위에 단결정
$eta$ -Sic 박막을 증착하였다. 기판의 영향을 줄이기 위하여$\beta$ -Sic 박막의 두께가$1.5mu extrm{m}$ 이상인 시편을 사용하였다. 전극으로는 Pt를 사용하였으며, 전극 증착은 DC magnetron sputter를 이용하였다. 전기적인 특성을 분석하기 위하여 전류-전압, 커패시턴스-전압 특성을 분석하였고, XRD와 AES를 이용하여 계면에서의 반응을 알아보았다. Hall 측정 결과 모든$\beta$ -Sic 박막은 약 2$\times$ 1018cm-3 정도의 도핑 농도를 갖는 n형 탄화규소임을 확인하였다. Pt/$\beta$ -Sic 접촉은 열처리 전에는 ohmic 접촉 특성을 보였으나 열처리 후에는 Schottky 접촉의 특성을 나타냈다. 전기적 특성 분석을 통하여 열처리 온도가 증가할수록 에너지 장벽의 높이가 증가하는 것을 알 수 있었다. 이상적인 Pt/$\beta$ -Sic 접촉의 특성을 보이는 것은 전극 증착시 sputtering에 의하여 계면에 발생한 결함이 도너의 역할을 하여 에너지 장벽의 두께를 감소시켜 tunneling을 촉진하기 때문인 것으로 판단된다. 열처리 후 접촉 특성이 변화하는 것은 이러한 결함들의 소멸 때문으로 생각된다. AES 분석을 통하여 열처리시 Pt가$\beta$ -Sic 내부로 확산하는 것을 알 수 있었으며, 이 때 Pt가$\beta$ -Sic 와 반응하여 계면에 실리사이드가 형성됨으로써 Pt/$\beta$ -Sic 계면이 보다 안정한 탄화규소 박막 내부로 이동하게 되고 계면의 결함 농도가 줄어드는 것이 접촉 특성 변화의 원인이라 할 수 있다. 열처리 온도가 증가함에 따라 계면이 점점$\beta$ -Sic 내부로 이동하여 결함농도가 낮아지기 때문에 tunneling 효과가 감소하여 에너지 장벽이 높아지게 된다. Pt를 ohmic 접촉과 Schottky 접촉 전극물질로 이용하여 제작한 Schottky 다이오드는 ohmic 접촉 형성시 Schottky 접촉에 발생하는 wputtering 손상에 의하여 좋은 정류특성을 얻지 못하였다. 따라서 chmic 접촉 전에 Schottky 접촉의 passivation이 필요한 것으로 판단된다. -
Surface acoustic wave (SAW) devices have become more important as mobile telecommunication systems need h호-frrequency, low-loss, and down-sized components. Higher-frequency SAW divices can be more sasily realized by developing new h호-SAW-velocity materials. The ZnO/diamond/Si multilasyer structure is one of the most promising material components for GHz-band SAW filters because of its SAW velocity above 10,000 m/sec. Silicon carbide is also a potential candidate material for high frequency, high power and radiation resistive electronic devices due to its superior mechanical, thermal and electronic properties. However, high price of commercialized 6- or 4H-SiC single crystalline wafer is an obstacle to apply SiC to high frequency SAW devices. In this study, single crystalline 3C-SiC thin films were grown on Si (100) by MOCVD using bis-trimethylsilymethane (BTMSM, C7H20Si7) organosilicon precursor. The 3C-SiC film properties were investigated using SEM, TEM, and high resolution XRD. The FWHM of 3C-SiC (200) peak was obtained 0.37 degree. To investigate the SAW propagation characteristics of the 3C-SiC films, SAW filters were fabricated using interdigital transducer electrodes on the top of ZnO/3C-SiC/Si(100), which were used to excite surface acoustic waves. SAW velocities were calculated from the frequency-response measurements of SAW filters. A generalized SAW mode. The hard 3C-SiC thin films stiffened Si substrate so that the velocities of fundamental and the 1st mode increased up to 5,100 m/s and 9,140 m/s, respectively.
-
본 연구는 고집적 반도체 소자의 제조 공정에 있어서 산화막을 형성하지 않고 굴곡진 표면을 균일하게 고농도로 도핑하기 위한 방안의 일환으로 기존의 PH3 대신 고체 P를 직접 이용한 2-zone 확산법으로 다결정 Si에 도핑하는 방법을 채택하고, 그 rksmdtjddmdf 검토하는데 목적이 있다. 도핑 시간에 따른 확산 경향을 살펴본 결과, 시간이 증가함에 따라 도핑이 증가하는 뚜렷한 경향을 나타내었으며, 온도가 증가할수록 시간에 따른 농도의 증가량이 커지는 것을 알 수 있었다. 따라서, 고온에 비해 저온에서 더 빨리 pile-up이 일어나며 표면 부근의 농도가 포화상태에 빨리도달하는 것을 알 수 있었다. 다결정 Si에서의 확산거동을 살펴본 결과, 결정립 크기가 적을수록 저항이 높게 나타났으며, 단결정 Si의 저항값보다 약 4~5배 가까이 높은 값을 나타내었다. 또한 동일한 온도에서 시간에 따라 표면 부근의 pile-up 현상이 증가하는 뚜렷한 경향을 보여 주었다. 온도가 감소할수록 pili-up 현상이 증가하는 경향을 나타내었으며, 입계를 통한 빠른 확산에 의해 단결정 Si에 비해 표면 pile-up의 포화가 늦게 일어나는 것을 알 수 있었다. 고체 P를 source로 사용한 경우와 PH3 (phosphine)을 source로 사용한 경우를 비교 분석한 결과, 75
$0^{\circ}C$ 에서 PH3에 비해 고체 P를 사용한 경우의 표면농도가 약 50배 정도로 높게 도핑된 것을 알 수 있었다. 도핑된 P중에서 전기적으로 활성화되어 있는 성분을 알아본 결과, SIMS의 결과와 유사하게 고체 P의 경우가 약 50배 높은 값을 나타내었다. 실제 소자의 특성을 알아보기 위하여 커패시터를 제작하여 측정하여 본 결과, 추가의 도핑을 하지 않은 시편에 비해 고체 P를 도핑한 시편이 약 8%의 Cmin 값의 증가를 보였으며, PH3에 비해 약 3%의 증가된 값을 나타냈었다. 누설전류 특성은 2V에서 수 fA/$\mu\textrm{m}$ 2로 양호하게 나타났다. 실험 결과 고체 P를 이용한 경우 더 우수한 특성을 나타내었으나, 예상과는 달리 차이가 적게 나타났다. 그 원인은 소자 제조 공정에서 콘택 부분에 큰 저항 성분이 형성되어 생긴 문제로 생각된다. 또한 실험에 사용된 유전체의 두께가 두꺼워 HSG 사이의 갭 부분이 캐패시턴스 증가에 기여를 충분히 못한 것으로 사료된다. 따라서, 제조 공정 상의 문제점을 제거하고 고체 P를 사용할 경우 본 실험에 비해 보다 증진된 특성을 보여줄 것으로 기대된다. 이상의 결론을 토대로 볼 때, 2-zone 확산법을 이용한 P 도핑 방법은 저온에서 효과적으로 다결정 Si에 고농도의 도핑을 할 수 있다고 생각된다. -
MBE법으로 성장시킨 Cd1-xMgxTe 박막을 조성비 (x=0, 0.23, 0.32, 0.43)에 따라 타원편광 분석기로 측정하여 연구하였다. E0 critical point energy 아래에서 나타나는 간섭무늬를 제거하기 위해 multilayer calculation을 수행했고 ellipsometric data를 2번 미분하여 계산하는 Critical Point Parabolic Band(CPPB) model을 사용하여 E0, E0+Δ0, E1, E1,+Δ1, and E0'critical point energy 들을 구할 수 있었다. 특히 E2 peak region 에서는 종전의 고상시료 (bulk)에서 측정 발표된 값보다도 매우 높고 명확한 <
$\varepsilon$ 2>값이 측정되어, E2와 E、0 peak가 명확하게 분리되는 것을 볼 수 있었다. 또한 Mg의 조성비에 따라 critical point energy 가 linear 하게 변화됨이 관측되었다. -
수소화된 비정질 실리콘(a-Si:H)과 미세결정질 실리콘 (
$\mu$ c-Si:H)은 저온.건식 공정인 PECVD로 값싼 유리 기판을 사용하여 넓은 면적에 증착이 가능하다는 큰 장점으로 인해 광전소자(photovoltaic device)와 박막 트랜지스터(TFTs)등에 폭넓게 응용되어 왔으며 최근에는 nm 크기의 실리콘 결정(nc-Si)에서 가시광선 영역의 발광 현상이 발견됨에 따라 광소자로서의 특성을 제어하기 위해서는 성장 조건과 공정 변수에 따른 구조 변화에 대한 연구가 선행되어야 한다. 본 연구에서는 UHV-ECR-PECVD 법을 이용하여 H2로 희석된 SiH4로부터 a-Si:H과$\mu$ c-Si:H를 증착하였다. 그림 1은 SiH4 20sccm/H2 50sccm/25$0^{\circ}C$ 에서 기판의 DC bias를 변화시키면서 박막을 증착시킬 때 나타나는 박막의 구조 변화를 raman spectrum의 To phonon peak의 위치와 반가폭의 변화로 나타낸 것이다. 비정질 실리콘 박막은 DC bias를 증가시킴에 따라 무질서도가 증가하다가 어떤 critical DC bias에서 최대치를 이룬후 다시 질서도가 증가한다. 이온의 충격력에 의해 박막내에 응력이 축적되면 박막의 에너지 상태가 높아지고 이 축적된 응력이 ordering에 대한 에너지 장벽을 넘을 수 있을 만큼 커지게 되면 응력이 풀리면서 ordering이 가능해지는 것으로 생각된다. 그림 2는 수소 결합 형태의 변화이다. 박막의 무질서도가 증가할 경우 알려진 바와 같이 2000cm-1근처의 peak은 감소하고 2100cm-1 부근이 peak이 증가하는 현상을 보였다. 본 논문에서는 여러 공정 변수, 특히 DC bias에 따르는 박막의 구조 변화와 다른 성장 조건(온도, 유량비)이 critical DC bias나 결정화, 결정성 등에 미치는 영향에 대한 분석결과를 보고하고자 한다.등을 이용하여 광학적 밴드갭, 광흡수 계수, Tauc Plot, 그리고 파장대별 빛의 투과도의 변화를 분석하였으며 각 변수가 변화함에 따라 광학적 밴드갭의 변화를 정량적으로 조사함으로써 분자결합상태와 밴드갭과 광 흡수 계수간의상관관계를 규명하였고, 각 변수에 따른 표면의 조도를 확인하였다. 비정질 Si1-xCx 박막을 증착하여 특성을 분석한 결과 성장된 박막의 성장률은 Carbonfid의 증가에 따라 다른 성장특성을 보였고, Silcne(SiH4) 가스량의 감소와 함께 박막의 성장률이 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 줄어들어 성장률이 Silane가스량에 의해 지배됨을 볼 수 있다. UV-VIS spectrophotometer에 의한 비정질 SiC 박막의 투과도와 파장과의 관계에 있어 유리를 기판으로 사용했으므로 유리의투과도를 감안했으며, 유리에 대한 상대적인 비율 관계로 투과도를 나타냈었다. 또한 비저질 SiC 박막의 흡수계수는 Ellipsometry에 의해 측정된 Δ과 Ψ값을 이용하여 시뮬레이션한 결과로 비정질 SiC 박막의 두께를 이용하여 구하였다. 또한 Tauc Plot을 통해 박막의 optical band gap을 2.6~3.7eV로 조절할 수 있었다. 20$0^{\circ}C$ 이상으로 증가시켜도 광투과율은 큰 변화를 나타내지 않았다.부터 전분-지질복합제의 형성 촉진이 시사되었다.이것으로 인하여 호화억제에 의한 노화 방지효과가 기대되었지만 실제로 빵의 노화는 현저히 진행되었다. 이것은 quinua 대체량 증가에 따른 반죽의 안정성이 저하되어 버린 것으로 생각되어진다. 더욱이 lipase를 첨가하면 반죽이 분화하는 경향이 보여졌지만 첨가량 75ppm에 있어서 상당히 비용적의 증대가 보였다. 이것은 lipase의 가수분해에 의해 -
Stranski-Krastanow 자발형성 방법에 의한 양자점의 성장은 다른 공정에 비해 결함이 적은 반면에 크기와 위치를 조절하기 어렵다. 최근 20-off GaAs 기판을 이용한 양자점의 성장은 다른 공정과는 달리 성장조건만으로 선택적인 성장을 얻을 수 있으며 양자점의 크기가 terrace width를 벗어나지 않으므로 uniformity를 향상시킬 수 있다. 20-off GaAs 기판의 trrrace 넓이는 약 99 이지만 성장조건하에 Ga의 diffusion에 의한 step bunching 효과에 의하여 그 넓이는 변화하며 특히, 성장 두께에 따라 넓이는 증가한다. 이러한 현상을 바탕으로 20-off 기판위에 GaAs buffer 층을 1000 , 22 을 갖게 되었다. 이로써 20-off 기판을 이용할 경우,GaAs buffer 층의 두께만으로 양자점의 크기를 조절할 수 있다.
-
이온빔 증착에 있어서 전자의 조사가 이온빔 증착기구에 미치는 영향에 대한 연구는 지금까지 보고 되어지지 않았다. 특히 전자의 조사가 증착층의 물성에 영향을 미칠 수 있느지에 대하여 정량적인 결과를 실험을 통하여 제시한 보고는 내가 아는 한 존재하지 않는다. 한편 이와같이 박막 증착에 있어서 전하가 증착되어지는 박막의 물성에 미칠 수 있는 영향에 대해서는 많은 과학자들의 관심사이기도 하다. 본 실험에서는 kaufman ion gun을 이용하여 질소 양이온을, 그리고 Cs+ ion gun을 이용하여 탄소 음이온을 조사하고 이들을 이용하여 CN 박막을 증착하였다. 질소 양이온의 에너지는 100eV, 이온밀도는 70
$\mu$ A/$\textrm{cm}^2$ 로 고정하고, 탄소 이온빔(80$\mu$ A/$\textrm{cm}^2$ )의 에너지를 200cV까지 변화시켜가며 증착하였다. 이때 증착층의 특성에 음 전하의 효과를 유발하기 위하여 350~360$\mu$ A/$\textrm{cm}^2$ 의 전자빔을 이온빔 증착과 동시에 추가로 조사하였고 이의 특성을 전자빔을 조사하지 않고 증착한 CN 박막의 특성과 서로 비교하였다. 또한 증착 표면의 전하 축적에 의한 입사 이온빔의 에너지 감소에 의한 영향을 방지하기 위하여 증착되는 Si 기판에 HF (300kHz, 3.5V) bias를 가하여 주었다. 전자빔의 조사와 동시에 이루어진 CN 박막의 증착은 입사하는 탄소 음 이온빔의 에너지가 80eV에서 180eV 사이일때 원자밀도의 향상과 질소함량의 증가, 그리고 sp2C-N 결합대비 sp3C-N 결합의 향상이 이루어졌음을 확인하였다. 이는 이온빔 충돌에 의하여 피코쵸 정도의 시간대에 이루어지는 박막내의 collision cascade 영역에 이에 의하여 생긴 결함부위에 유입된 음 전하가 위치하면 전하주위의 원자와 polarization을 형성하고 이에 의하여 탄소와 질소의 결합을 형성하는데 필요한 자유에너지의 감소를 수반하는 방향으로 원자의 배열이 이루어지기 때문으로 사료된다. 이와같이 이온빔 에너지가 이온빔 증착 기구의 주요한 인자로 널리 인식되고 있는 kinetic bonding process에 있어서 이온 에너지에 의하여 activation energy barrier를 넘은후, 전자의 조사가 자유에너지를 낮추는 방향으로 최종 결합경로를 조절할 수 있기 때문에 이온빔 증착을 조절할 수 있는 또 하나의 주요한 인자로 받아들여질 수 있으리라 판단된다. 이온빔 프로세스에 의한 DLC 혹은 탄소관련 필름을 형성하는데 있어서 입사 이온빔의 에너지에 의하여 수반되는 thermal spike 혹은 외부 열원에 의한 가열은 박막층의 흑연화를 수반하기 때문에 박막의 sp3 특성을 향상시키기 위하여 회피하여야 할 요소이지만 thermal spike에 의한 국부 영역의 가열과 같은 불가피한 인자가 존재하는 상황에서 전자에 의한 추가 전하의 조사에 의한 최종결합경로의 선택적 조절은 박막의 화학적 결합과 물리적 특성을 향상시킬 수 있는 중요한 방법이 될 수 있다고 판단된다. -
AlN는 약 6.2eV 정도의 큰 에너지 밴드폭을 가지고 있어서 S, GaAs에 비해 높은 항복전압과 물리적인 강도를 가지고 있어서 고온 고전력 전자소자로 응용이 되어지며, 또한 압전특성이 우수하기 때문에 SAW 소자에 응용이 되어진다. 또한 최근 광소자 재료로 연구가 되어지고 있는 GaN의 Buffer Layer로도 사용이 되어지고 있다. 본 실험은 Plasma Source를 사용한 PaMBE 장비를 사용하여 Si 기판위에 AlN 박막을 성장시키고자 하였다. AlN 박막을 성장 온도를 변화시켜가며 Si(100) 과 Si(111)기판위에 성장을 수행하였으며 성장온도의 변화에 따른 AlN 박막의 결정성을 살펴보았다. AlN/Si(100)은 XRD와 DCD 분석에 의해 AlN 박막이 (0001) 방향으로 우선배향되었음을 알 수 있었고, AlN/Si(111)은 XRD, DCD 그리고 TEM분석에 의해서 단결정 AlN 박막임을 확인 할 수 있었다.
-
AlN 박막은 Al과 N원자의 부분적 이온결합 특성을 가진 공유결합을 한 육방정계의 wurtzite 경정구조의 화합물 반도체로서, III-V족 반도체 중 가장 큰 에너지 갭(6.2 eV), 결정 구조적 이방성, 화학 양론적 결합구조, 높은 탄성종과 전달속도(약 10
$\times$ 106 m/s)와 높은 열전도도, 고온 안정성, 가시광성.적외선 영역에서의 좋은 투과성과 높은 굴절률, 상온 대기압에서의 유일하게 안정적인 특성을 가지고 있어, 절연재료, 내열재료, 저주파 영역 센서의 압전 트랜스듀서, 광전소자, 탄성파 소자 및 내환경 소자, MIS소자 등으로 주목받고 있다. 본 연구에서는 BAW 공진기의 활용을 목적으로 반응성 마그네트론 스퍼터링 방법으로 AIN 압전박막을 제작하여, 증착 조건-질소 농도, 고주파 출력, 전체 스퍼터링 압력, 기판 온도-에 대한 박막의 특성을 조사하였다. AlN 박막의 c축 우선 방위 결정성 및 낮은 투과성, 적당한 굴절률의 특성이 BAW 공진기의 활용을 위한 요건이므로, 각각의 증착 조건하에 제작된 박막은 XRD의$\theta$ /2$\theta$ 스캔 회절상에 의한 결정성의 분석과 우선 성장 결정면의 rocking curve 및 XRD로 측정한 FWHM과 표준 편차로 결정성의 배열성과 소자 응용가능성을 조사하였다. 박막의 표면.단면 미세 구조 및 평활도는 SEM으로 관찰하였으며, Al-N 결합 상태는 XPS와 FT-IR로 분석 조사하였다. 제작된 AlN 박막의 결정성 분석 결과, c축 우선 방위 성장을 위한 스퍼터링 압력에 대한 임계 질소 농도와 임계 스퍼터링 압력이 관찰되었다. 전체 스퍼터링 압력이 6~8 mTorr의 범위에서 나타난 최소 임계질소 농도는 10%, 최대 임계 질소 농도는 60%이며, 4 m Torr 이하 10 m Torr 이상의 전체 스퍼터링 압력에서 박막의 우선 방위성장이 제재된다. 이는 AlN 박막이 형성에 관여하는 질소 이온 양의 충분한 형성에 필요로 하는 질소 가스의 유입량에 따른 것으로 판단된다. AlN 박막의 c축 결정면인 (002) 결정면의 성장을 유도하며 다른 방향으로의 성장을 제어하여 소자 활용에 유용한 박막을 제작하기 위한 고주파 출력은 300W 정도가 적당하며, 기판을 가열하지 않았을 때 낮은 투과도를 나타낸다. 본 연구에 의한 BAW 공진기 활용을 위한 AlN 압전박막의 제작을 위한 최적 증착 조건은 기판의 가열 없이 6~8 mTorr의 전체 스퍼터링 압력에 20~25%의 질소종도, 300W의 고주파 출력이다. 최적 조건에서의 AlN 박막은 약 0.19$^{\circ}$ 의 FWHM과 약 0.08$^{\circ}$ 의 표준편차를 가지며, 균일하고 조밀한 표면 미세구조와 주상정 구조의 측면구조, 파장에 대한 약 2.0의 굴절률, 낮은 투과도와 화학 양론적 구조를 가지는 우수한 박막이 형성되었다. -
이온빔을 이용한 리소그래피의 경우 미크론 이하의 미세구조를 형성할 수 있는 유용한 수단으로서 방사광 X-선과 함께 주목을 받고 있으며, 이와 같은 미세구조 제작은 MEMS (Micro Electro-Mechanical System) 개발에 있어서 매우 중요하다. 그러나 이온빔을 이용한 리소그래피에 대한 연구가 많이 이루어져 있지 않은 상태이다. MeV급 양정사 빔을 이용한 리소그래피의 가능성을 확인하기 위하여 기본적인 실험을 수행하였으며, 최적 이온빔 조사 조건 및 최적 현상 조건을 도출하였다. Resist로는 PMMA를 사용하였으며, 1.8 MeV 양성자 빔을 사용하여 50
$\mu\textrm{m}$ 깊이의 구조물을 만들었다. 1.8MeV 양성자 빔의 조사선량이 7x1013ions/cm2 이상이 되면 PMMA 내부에 기포가 형성되므로 적정 조사선량을 4x1013 ions/cm2으로 결정하였다. 또한 선량을 4x1013ions/cm2 으로 고정하고 선량률을 변화시켜주면 선량률이 8x1011ions/cm2S 일 때부터 시료에 기포나 터짐 현상 등의 문제가 발생하였으며 5x1010~~1x1010ions/cm2s 의 선량률이 조사시간, 결함측면에서 가장 적합한 영역임을 알 수 있었다. 현상제로는 20% morpholine, 5% etanolamine 60% diethylenglykol-monobutylether, 15% 증류수를 혼합하여 사용하였다. 현상 온도를 30~5$0^{\circ}C$ 로 변화시켜서 현상을 한 결과, 4$0^{\circ}C$ 에서 현상 소요시간은 1시간 이내이며 SEM으로 관찰된 표면의 상태도 제일 양호한 결과를 보였다. 82 mesh 밀도, 선굵기 60$\mu\textrm{m}$ , 크기 20x20 mm인 백금 망을 마스크로 사용하여 실제 3차원 미세구조를 제작하여 보았다. 그림 1에서 제작된 구조물의 SEM 사진을 보여주었으며, 식각된 면의 조도가 매우 뛰어나며 모서리의 직각성도 우수함을 확인할 수 있다. 이와 같이 도출된 시험 조건을 기초로 하여 리소그래피 후에 전기 도금을 이용한 금속 몰드 제작 및 이온빔 리소그래피 장점을 최대한 살릴수 있는 미세구조 제작에 대한 연구를 계속 추진할 계획이다. -
a-C:H 혹은 a-SiC:H 박막은 광전소자 및 태양전지 등의 개발에 있어서 중요한 물질이다. 우리는 a-C:H 및 a-SiC:H 박막을 PECVD (plasma-enhanced chemical vapor deposition) 방법으로 증착시키고, 박막의 가열에 따른 스핀밀도의 변화를 ESR (electron spin resonance) 측정을 통하여 조사하였다. PECVD 증착가스는 Ch4, SiH4 가스를 사용하였고, 기판은 Corning 1737glass를 사용하였으며, 기판 온도는 300-40
$0^{\circ}C$ , 증착 압력은 0.1-0.3 Torr, r.f. 전력은 3-36W 사이에서 변화되었다. ESR 측정은 상온 X-band 영역에서 수행되었고, modulation amplitude는 2.5G, modulation frequency는 100kHz 이었다. a-C:H 혹은 a-SiC:H 박막은 진공상태의 reactor, 혹은 공기중의 furnace 안에서 300-50$0^{\circ}C$ 영역에서 3-8시간 정도 가열되거나, 혹은 상온에서 약 50$0^{\circ}C$ 정도까지 단계적으로 가열되었다. 증착된 a-C:H 박막의 초기 구조는 Raman 측정으로부터 polymer-like Carbon으로 추정되었으며, 300-35$0^{\circ}C$ 가열시 초기 1시간 정도 사이에는 스핀밀도가 증가되었으나, 그 후 8시간 정도까지의 가열의 경우에도 대체로 동일하게 나타났다. 또한 상온으로부터 약 50$0^{\circ}C$ 까지 단계적으로 온도를 높여주며, 각 단계마다 1시간씩 가열했을 때도 30$0^{\circ}C$ 정도까지는 스핀밀도가 증가하다가 더 높은 온도로 가면서 다시 스핀밀도가 감소함을 볼 수 있었다. 이러한 스핀밀도의 초기 증가 및 감소를 일으키는 메카니즘에 대해서 논의해 볼 것이다. -
Ni을 coating 하지 않은 Si 기판에 바이어스를 인가하여 기존의 아이아몬드 결정입자가 아닌 탄소 튜브와 유사한 whisker 형태의 탄소 막을 Hot filament CVD 법으로 증착하였다. 제작된 시료는 SEM, Raman, 그리고 XRD로 형상과 성분, 그리고 결정구조를 분석하여 전계 방출 소자로 이용하기 위한 기본적인 전계방출 특성을 조사하였다. Raman 스펙트럼에 의한 조사 결과 whisker의 구성성분은 비정질 흑연임을 확인하였다. 증착 시 바이어스 전압이 높아짐에 따라 whisker의 형태가 가늘고 길어지는 경향을 보였으며 CH4 농도와 기판 온도가 증가할수록 whisker의 지금이 커지는 현상을 나타내었다. 방출전류밀도는 가늘고 긴 whisker 일수록 증가하는 경향을 나타내었다. 또한 NH3를 첨가한 결과 매우 뾰족한 원뿔의 형태로 증착되었으며 구동전압이 2 V/
$\mu$ m의 낮은 값을 나타내었다. 따라서 whisker를 전계 방출 소자로 사용할 경우 구성성분이 흑연이며 그 형태가 침상 또는 원뿔이므로 구동전압이 낮아지고 방출 전류가 증가하는 등 향상된 전계 방출 특성을 나타내었다. -
수소화된 비정질 탄소(a-C:H)는 그 증착 조건에 따라서 여러 가지 다른 구조와 특성을 갖게 되며, 특히 DLC(diamond-like carbon) 및 CNT(Carbon nanotube)는 FED (field emission display) 개발 면에서 중요하게 연구되고 있다. 우리는 a-C:H 박막을 PECVD (plasma-enhanced chemical vapor deposition) 방법으로 증착하고 CH4 가스를 사용하였고 기판 온도는 상온-32
$0^{\circ}C$ 사이에서 변화되었다. 기판은 Corning 1737 glass, quartz, Si, Ni 등을 사용하였다. 증착 압력과 R.F. power는 각각 0.1-1 Torr 와 12-60w 사이에서 변화되었다. ESR 측정은 X-band(주파수 약 9 GHz)에서 그리고 상온에서 행해졌다. 상온에서의 스핀밀도는 약한-표준피치(weak-pitch standard) 스펙트럼과 비교하여 얻을 수 있었다. 그리고 a-C:H 박막의 구조는 He-Ne laser(파장 632.8 nm)를 이용하는 micro-Raman spectroscopy로 분석하였다. 증착조건에 따른 스핀밀도의 변화 및 Raman 스펙트럼에서의 D-peak, G-peak의 위치 및 반치록, I(D)/I(G) 등을 조사하였다. 증착된 a-C:H 박막은 R.F.power가 증가할수록 대체로 스핀밀도가 증가하였으며, Raman 스펙트럼에서의 I(D)/I(G) 비율은 대체로 감소하였다. 증착된 박막들은 polymer-like Carbon으로 추정되었으며, 스핀밀도가 증가할수록 대체적으로 흑연 구조 영역이 증가됨을 알 수 있었다. 또한 glass나 Si 기판에 비해 Ni 기판위에서 polymer-like Carbon 구조는 향상되는 경향을 보였다. -
증류수(Distilled Water)와 메탄올(CH3OH)의 혼합용액을 전기분해하여 Si 기판위에 다이아몬드상 카본을 증찰하였다. Si 기판은 HF(16%) 용액으로 산화막을 제거하고, 전이금속 Co, Ni 박막을 증착시킨 후 전기 분해 장치의 전극 (-)단자에 연결하였다. (+)단자에는 순도 99%의 탄소 전극을 사용하였다. 기존의 에탄올, 에틸렌 글리콜(H2O-HOCH2CH2OH), 메탄올등의 전해질에 1000V 이상의 고전압을 인가하는 방법대신 메탄올과 증류수, 그리고 암모니아수(NH4OH)의 비를 달리하는 혼합액을 전해질로 사용하였다. 그결과 낮은 전압 (800V 이하)과 낮은 온도(6
$0^{\circ}C$ 미만)에서도 다이아몬드상 카본을 증착할 수 있었다. 증착한 시료와 용액은 XRD, SEM, FT-IR 등을 이용하여 분석하였다. -
탄소나노튜브는 그 고유한 전자적, 기계적 특성 때문에 미래의 여러 전자부품 소재로서의 무한한 가능성을 지니고 잇는 것으로 알려져 있으며, 최근에는 디스플레이의 전자방출소자로서 관심이 집중되고 있다. 특히, 큰 aspect ratio를 갖는 나노튜브의 특성 때문에 높은 전계향상효과를 얻을 수 있으므로, 전계방출디스플레이의 음극소재로서 유망하다. 하지만 탄소나노튜브가 전계방출디스플레이의 음극소재로서 적용되기 위해서는 수직배향, 전자방출의 ebs일성 및 장시간 안정성, 그리고 낮은 온도에서의 성장 등의 문제점들이 해결되어야만 한다. 탄소나노튜브의 여러 제조방법들 중에서 위에서 제시된 문제점들을 해결할 수 있는 것으로써 CVD 법이 제일 유망하며, 이는 CVD 공정이 여러 제조 방법들 중에서 가장 낮은 온도조건에서 나노튜브의 합성이 가능하고, 저가격, 특히 응용 디바이스에 기존의 공정과 호환하여 사용될 수 있는 장점이 있기 때문이다. 본 연구에서는 열 CVD 공정에 의해서 탄소나노튜브를 제조한후, 그 물성 및 전계 방출 특성을 평가하였다. 특히 CVD 공정을 이용한 탄소나노튜브의 제조시 필수적으로 요구되는 촉매의 형태 및 물성을 바꾸어 줌으로써, 성장하는 나노튜브의 수직 배향성, 밀도 등의 물성을 변화시켰으며, 촉매가 나노튜브의 성장에 미치는 영향을 고찰하였다. 이러한 다양한 물성 및 형태를 갖는 나노튜브를 제조한 후, 형광체를 이용한 발광형상을 통해 전계방출 현상을 관찰함으로써, 전계방출소재로서의 우수한 특성을 나타낼 수 있는 탄소나노튜브의 제조조건을 확립하고자 하였다. 또한 고밀도의 탄소나노튜브에서 나타날 수 있는 방출면적의 감소 및 불균일성을 해결하고자 탄소나노튜브를 기판에 선택적으로 성장시킴으로써 해결하고자 하였다. 또한 위에서 언급된 열 CVD 공정을 이용한 탄소나노튜브의 제조 및 평가 이외에 보다 더 낮은 온도에서의 탄소나노튜브 합성을 위하여 본 연구에서는 열 CVD 공정에 플라즈마를 첨가하여 저온합성을 유도하였다. 일반적인 열CVD 공정은 80
$0^{\circ}C$ 에서 진행되었으나 플라즈마를 도입한 공정에서는 그 제조온도를$600^{\circ}C$ 정도로 낮출 수 있었으며, 이에 따른 물성 및 전계 방출 특성을 위와 비교, 평가하였다. -
화학증착법으로 증착된 다이아몬드 박막은 우수한 전기적 특성과 뛰어난 화학적, 열적 안정성 때문에 전계방출소재로 많은 관심을 불러 일으키고 있다. 다이아몬드 박막의 전계방출은 저전계에서 일어나는 것으로 알려져 있으며, 저전계방출의 원인을 규명하려는 많은 연구가 진행되어 왔다. 한편, 다이아몬드 박막의 전계방출전류는 금속기판의 사용에 의한 기판/다이아몬드 접촉의 개선, 다이아몬드 박막내의 흑연성분의 조절에 의한 구조변화, 보론이나 인 (P), 질소의 도핑, 수소 플라즈마나 cesium 등의 금속을 이용한 표면처리 등의 여러 방법에 의하여 향상된다는 것이 입증되었다. 그 외에 메탄과 대기 분위기 처리, 암모니아 분위기에서의 레이저 조사도 전계방출특성을 향상시키는 것으로 보고되었다. 그러나, 다이아몬드 박막의 성장후 구조적 특성이 다른 박막의 후성장이나 열분해된 운자수소 처리가 다이아몬드 박막의 전계방출특성에 미치는 영향에 관한 연구는 지금까지 이루어지지 않았다. 본 연구에서는 수소처리와 후성장이 다이아몬드 박막의 전계방출특성에 미치는 영향을 고찰하고 이로부터 그 원인을 규명하고자 하였다. 다이아몬드 박막은 hot-filament 화학증착법을 이용하여 증착하였다. 후성장한 다잉아몬드 박막내의 흑연성분과 박막의 두께를 체계적으로 조절하여 후성장 박막의 구조적 특성과 그 두께의 영향을 확인할 수 있었다. 후성장층내의 흑연성분과 두께가 증가할수록 전계방출특성은 향상되다가 저하되었다. 한편, 다이아몬드 박막을 성장시킨 후 수소분위기 처리를 함에 따라 전계방출특성은 향상되었지만 수소처리시간이 5분 이상으로 증가함에 따라 그 특성은 저하되었다. 본 연구에서는 수소처리와 후성장시 나타나는 전계방출특성의 변화 원인을 규명하고자 한다.기판위에서 polymer-like Carbon 구조는 향상되는 경향을 보였다.0 mm인 백금 망을 마스크로 사용하여 실제 3차원 미세구조를 제작하여 보았다. 그림 1에서 제작된 구조물의 SEM 사진을 보여주었으며, 식각된 면의 조도가 매우 뛰어나며 모서리의 직각성도 우수함을 확인할 수 있다. 이와 같이 도출된 시험 조건을 기초로 하여 리소그래피 후에 전기 도금을 이용한 금속 몰드 제작 및 이온빔 리소그래피 장점을 최대한 살릴수 있는 미세구조 제작에 대한 연구를 계속 추진할 계획이다. 비정질 Si1-xCx 박막을 증착하여 특성을 분석한 결과 성장된 박막의 성장률은 Carbonfid의 증가에 따라 다른 성장특성을 보였고, Silcne(SiH4) 가스량의 감소와 함께 박막의 성장률이 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 줄어들어 성장률이 Silane가스량에 의해 지배됨을 볼 수 있다. UV-VIS spectrophotometer에 의한 비정질 SiC 박막의 투과도와 파장과의 관계에 있어 유리를 기판으로 사용했으므로 유리의투과도를 감안했으며, 유리에 대한 상대적인 비율 관계로 투과도를 나타냈었다. 또한 비저질 SiC 박막의 흡수계수는 Ellipsometry에 의해 측정된 Δ과 Ψ값을 이용하여 시뮬레이션한 결과로 비정질 SiC 박막의 두께를 이용하여 구하였다. 또한 Tauc Plot을 통해 박막의 optical band gap을 2.6~3.7eV로 조절할 수 있었다. 20
$0^{\circ}C$ 이상으로 증가시켜도 광투과율은 큰 변화를 나타내지 않았다.부터 -
In this thesis, the metastable state diamond thin films have been deposited on Si substrates from methane-hydrogen and oxygen mixture using Microwave Plasma Enhanced Chemical Vapor deposition (MWPCVD) method. Effects of each experimental parameters of MWPCVD including methane concentrations, oxygen additions, operating pressure, deposition time, etc. on the growth rate and crystallinity were investigated. SEM, XRD, and Raman spectroscopy were employed to analyze the growth rate and morphology, crystallinity and prefered growth direction, and relative amounts of diamond and non-diamond phases respectively. As a methane concentration below 4%, the deposited films having well-defined facets could be obtained. As the methane concentration increases over 4%, the shape of films gradually changed into a amorphos form. The best crystallinity of the film at 3% in the Raman spectroscopy. Addition of oxygen to the methane-hydrogen mixture gave an improved film crystallinity at 50% oxygen concentration due to its more effectiveness in the selective removal of the non-diamond phased compared to the of H atom. on the contrary, the growth rate generally decreased by oxygen to from the more stable CO and CO2 is responsible for such an effect. Upon increasing the operating pressure and time, increased of growth rate and crystallinity were increased simultaneously.
-
초고집적(ULSI) 반도체 소자의 multilevel metalization을 위한 중간 유저네로서 저 유전상수(k<)와 높은 열적안정성(>45
$0^{\circ}C$ )을 갖는 새로운 물질을 도입하는 것이 필요하다. 중합체 박막은 낮은 유전상수와 높은 열적 안정성으로 인하여 low-k 물질로 적당하다고 여겨진다. PECVD에 의한 plasma polymer 박막의 증착은 많이 보고되어 왔으마 고밀도 플라즈마 형성이 가능하고 기판으로 유입되는 ion의 energy 조절이 가능한 inductively coupled plasma(ICP) CVD에 의한 plasma polymer 박막에 대한 연구는 보고된 바 없다. 본 연구에서는 Mtehyl-cyclohexane precusor를 사용하여 substrate에 bias를 주면서 inductively coupled plasma(ICP)를 이용하여 플라즈마 폴리머 박막(plasma polymerized methyl-cyclohexane : 이하^g , pp MCH라 칭함)을 증착하였으며 ICP power와 substrate bias(SB) power가 증착된 박막의 특성에 어떠한 영향을 미치는지 알아보았다. 증착된 박막의 유전상 수 및 열적 안정성은 ICP power의 변화에 비해 SB power의 변화에 더 크게 영향을 받았다.^g , pp MCH 박막은 platinum(Pt) 기판과 silicon 기판위에서 같이 증착되었다. Methyl-cyclohexane precursor는 4$0^{\circ}C$ 로 유지된 bubbler에 담겨지고 carrier 가스 (H2:10%, He:90%)에 의해 reactor 내부로 유입된다.^g , pp MCH 박막은 증착압력 350 mTorr, 증착온도 6$0^{\circ}C$ 에서 \circled1SB power를 10W에 고정시키고 ICP power를 5W부터 70W까지, \circled2ICP power를 10W에 고정시키고 SB power를 5W부터 70W까지 변화하면서 증착하였다. 유전 상수 및 절연성은 Al/PPMCH//Pt 구조의 capacitor를 만들어서 측정하였으며, 열적 안정성은 Ar 분위기에서 30분간의 열처리 전후의 두께 변화를 측정함으로써 분석하였다. SB power 10W에서 ICP power가 5W에서 70w로 증가함에 따라 유전상수는 2.65에서 3.14로 증가하였다. 열적 안정성은 ICP power의 증가에 따라서는 크게 향상되지 않은 것으로 나타났다. ICP power 10W에서 SB power가 5W에서 70W로 증가함에 따라 유전상수는 2.63에서 3.46으로 증가하였다. 열적 안정성은 SB power의 증가에 따라 현저하게 향상되었으며 30W 이상에서 증착된 박막은 45$0^{\circ}C$ 까지 안정하였고, 70W에서 증착된 박막은 50$0^{\circ}C$ 까지 안정하였다. 열적 안정성은 ICP power의 증가에 따라서는 현저하게 향상되었다. 그 원인은 SB power의 인가에 의해 활성화된 precursor 분자들이 큰 에너지를 가지고 기판에 유입되어 치밀한 박막이 형성되었기 때문으로 사료된다. -
본 논문에서는 RF Magnetron Sputtering 방법으로 Ba0.5Sr0.5TiO3 박막을 Pt/Ti/SiO2/Si 기판위에 증착하였다. Ar과 O2의 가스비는 90:10부터 50:50까지 O2의 함유비율을 10씩 증가시켰으며, 모든 조건에서 증착온도는 실온으로 설정하였다. Ba0.5Sr0.5TiO3 박막의 증착후 각 가스비에 따른 동일한 샘플에 대해 RTA(Rapid Thermal Anneal) 장비를 이용하여
$600^{\circ}C$ 에서 열처리는 하여 열처리 효과에 대한 특성도 조사하였다. 최종적으로 제작한 BST 커패시터는 Pt/BST/Pt 구조를 갖는 MIM(Metal-Insulator-Metal) 구조의 커패시터였으며 상.하부 전극은 전기적 특성이 우수한 Pt를 사용하였다. 제작된 BST 커패시터를 대해 유전 특성을 조사하기 위해 C-V 측정을 한 결과 산소 함유량이 증가함에 따라 유전율의 증가를 보여주었으며, 제작된 샘플 중 산소 함유량이 30인 샘플은 300이상의 우수한 유전율을 나타내었다. 또한 누설 전류특성에서는 모든 샘플에 대해 1.0V의 인가전압에서 1.0$\times$ 106A/cm2 이하의 누설 전류 밀도 값을 가져 전기적으로도 안정된 커패시터 구조임을 확인하였다. 또한 막의 증착상태와 미세구조관찰을 위해 SEM 측정을 하였고 구성성분 결정 구조를 알기 위해 XRD 측정도 시행하였다. 결과적으로 본 논문에서 제작된 커패시터 중 Sr/O의 비율이 70:30인 샘플이 가장 우수한 유전특성을 나타내었고, 이 샘플의 유전특성과 누설 전류 특성은 차세대 메모리인 1GigaByte급 DRAM에 적용 가능한 조건들을 만족시켰다. -
Aluminium oxide (Al2O3) films have been investigated for many applications such as insulating materials, hard coatings, and diffusion barriers due to their attractive electrical and mechanical properties. In recent years, application of Al2O3 films for dielectric materials in integrated circuits as gates and capacitors has attracted much attention. Various deposition techniques such as sol-gel, metalorganic decomposition (MOD), sputtering, evaporation, metalorganic chemical vapor deposition (MOCVD), and pulsed laser ablation have been used to fabricate Al2O3 thin films. Among these techniques, reactive sputtering has been widely used due to its high deposition rate and easy control of film composition. It has been also reported that the sputtered Al2O3 films exhibit superior chemical stability and mechanical strength compared to the films fabricated by other processes. In this study, Al2O3 thin films were deposited on Pt/Ti/SiO/Si2 and Si substrates by DC reactive sputtering at room temperature with variation of the Ar/O2 ratio in sputtering ambient. Crystalline phase of the reactively sputtered films was characterized using X-ray diffractometry and the surface morphology of the films was observed with Scanning election microscopy. Effects of Th Ar/O2 ratio characteristics of Al2O3 films were investigated with emphasis on the thickness dependence of the dielectric properties. Correlation between the dielectric properties and the microstructure was also studied
-
최근 자동차 배기 가스 유출에 의한 환경문제가 심각하게 대두되고 있고, 이에 따라 그 중 NOx, SOx 등의 유해가스 검출을 위한 센서 개발이 강력히 요구되고 있다. 본 실험은 자동차에서 배출되는 NO 가스에 대한 민감한 센서 제작을 목적으로 In2O3 박막을 성장시켜 그 특성을 측정하였고, NO 가스에 대한 민감도를 증가시키기 위해 7 ~32 정도의 초박막 Co 촉매를 증착하여 NO 감도에 미치는 현상을 조사하였다. In2O3 2˝ target(순도 99.99%)을 사용하여 RF power와 Ar/O2의 비를 변화시켜가면서 상온에서 알루미나 기판위에 In2O3 박막 성장시켰다. 박막을 성장시킨 후 10
$0^{\circ}C$ 에서 5$0^{\circ}C$ 까지 온도를 변화시키면서 공기 중에서 열처리를 하였다. In2O3 박막의 결정성은 XRD를 이용하여 측정하였고 표면 특성을 알아보기 위해 AFM과 SEM 측정을 하였다. XRD 분석결과 상온에서부터 50$0^{\circ}C$ 까지 회절 peck의 강도차이는 있었지만 모든 시편에서 In2O3 박막이 cubic 구조로 성장함을 알 수 있었다. 100ppm 농도 NO 가스에 대한 센서 소자의 감도를 20$0^{\circ}C$ ~40$0^{\circ}C$ 온도 영역에서 측정하였다. 순수한 In2O3 의 경우 감도(S=Ra/Rg)는 25$0^{\circ}C$ 에서 S 6 정도로 가장 좋았다. 반면에 Co 촉매를 표면에 흡착시킨 경우 20$0^{\circ}C$ ~25$0^{\circ}C$ 부근에서 반응속도가 매우 빨라지고, 150 정도 Co를 흡착시킨 센서의 경우 S 14 로 감도가 매우 향상됨을 알 수 있었다. -
강한 결정 방향 의존성과 낮은 항정계를 갖는 Bi4Ti3O12 강유전체 박막은 NDRO형 비휘발성 강유전체 메모리 분야에서 매우 유망한 재료이다. 이를 위해서는 실리콘 기판과의 계면조절과 실리콘 기판성에서 고품질의 강유전성 박막을 성장시키는 기술이 필수적이다. MOCVD에 의한 Bi4Ti3O12 의 증착에서는 Bi 성분의 강한 휘발 특성과 낮은 반응성으로 인하여 조성과 두께 등의 조절이 매우 어렵다. 따라서 화학기상증착의 기구를 이해하고 제어하는 기술이 양질의 박막을 얻는데 필수적이다. 본 연구에서는 유기금속 원료 TPB, TIP 과 산소를 이용하여 실리콘 기판위에 Bi4Ti3O12 강유전체 박막을 증착할 때, 증착 변수의 변화에 따른 박막의 증착 거동과 구조적, 전기적 특성을 연계하여 분석하였다. 특히 기판부착력이 낮고 휘발성이 강한 Bi의 특성으로 인한 문제를 개선하기 위하여 TIP원료를 주기적으로 공급, 중단을 반복하는 펄스주입법을 고안하여 그 효과를 살펴보았다. 실리콘 기판위에서 TiO2의 증착속도는 실험온도 영역에서 온도에 따라 변화하지 않는 전형적인 물질 전달에 의해 지배되는 양상을 나타내었다. 반면 Bi2O3 경우에는 50
$0^{\circ}C$ 이상에서 급격하게 증착속도가 감소하는 특이한 경향을 나타내었으며 이는 Bi2O3의 높은 휘발성 때문일 것이다. Bi4Ti3O12 박막은 온도증가에 따라 증착속도가 증가한 후$600^{\circ}C$ 이상에서 포화되는 경향을 보였다. 이로부터 실리콘 기판위에서의 Bi4Ti3O12 박막의 증착 모델을 제시하였다. Bi2O3에 비해 상대적으로 표면 부착력이 월등히 큰 TiO2가 우선적으로 실리콘 펴면에 형성된 후 TPB 유기금속 원료가 이 TiO2와 반응하는 과정으로 Bi4Ti3O12 박막이 증착된다.$600^{\circ}C$ 이상에서는 증착 변수들을 바꾸어도 물성이 변하지 않는 자기조절기능이 있음을 알 수 있었는데 이는 고온에서의 Bi2O3의 강한 휘발성 때문일 것이다. 실리콘 기판에서 층상 페로브스카이트 상은 58$0^{\circ}C$ 이상에서 형성되며, 매우 좁은 온도 변화에도 결정구조, 박막현상 및 성분이 크게 바뀌는 온도에 민감한 증착거동이 관찰되었다. 증착 모델에서 예견되는 Bi의 불리함을 개선하기 위해 펄스주입법을 실시한 경우 Bi의 성분량이 증가되었고 결정성이 향상되었다. 이로부터 펄스주입법이 박막내에 부족하기 쉬운 Bi를 보충하여 박막의 특성을 개선함을 확인하였다. Bi4Ti3O12 박막의 증착온도에 따른 누설전류 특성 측정 결과 증착온도가 감소할수록 누설전류가 감소함을 알 수 있었고 펄스주입법이 연속주입법보다 더 낮은 누설전류를 보임을 알았다. 펄스주입법의 경우 -2.5V 인가 시의 누설전류는 7.4$\times$ 10-8A/cm2에서 1.3$\times$ 10+7A/cm2의 매우 우수한 값을 가졌다. 연속 주입법에 의해 증착된 박막은 C-V 측정 결과 강유전성 이력이 나타나지 않았으나,$600^{\circ}C$ 이상에서 펄스주입법에 의해 증착된 박박은 강유전성 이력을 나타내었다. -
MOS소자의 크기가 작아짐에 따라 gate 유전막의 두께 또한 얇아져야 한다. 두께가 얇아짐에 따라 gate 유전막으로써 기존의 SiO2는 direct tunneling으로 인해 높은 누설전류를 수반한다. 그래서 높은 유전상술르 가지는 물질들에 대한 연구의 필요성이 대두되고 있다. 그중 CVD-Ta2O5는 차세대 MOSFET소자기술에 있어서 높은 유전상수(
$\varepsilon$ r+25)와 우수한 step coverage 때문에 각광을 받고 있는 물질중에 하나이다. 본 연구에서는 Ta2O5를 gate를 유전막으로 사용하고 RTN처리와 wet oxidation을 접목시켜 이들의 전기적인 특성을 향상시킬 수 있었다. p-형 wafer 위에 D2와 O2를 사용하여 SiO2(100 )를, NH3를 이용하여 Nitridation(10 )을 전처리로써 각각 실시하였고 그 위에 MOCVD방법으로 Ta2O5를 80 성장시켰다. 첫 번째 시편은 45$0^{\circ}C$ 10min동안 wet oxidation을 시켰고, 두 번째 시편은$700^{\circ}C$ 60sec동안 NH3 분위기에서 RTN 처리를 하였다. 세 번째 시편은 동일조건으로 RTN 처리후 wet oxidation을 하였다. 그 후 각각의 시편을 capacitor를 제작하고 그 전기적 특성을 관찰하였다. Wet oxidation만을 시킨 시편은 as-deposited Ta2O5 시편에 비해서 -1.5V에서 누설전류는 약 2~3 order정도 감소되었고 accumulation 영역에서의 capacitance 값은 oxide층의 성장(5 )을 무시하면 거의 변화하지 않았다. RTN처리만 된 시편의 경우는 -1.5V에서 누설전류는 2~3order 정도 증가되었지만, accumulation 영역에서 capacitance 값은 거의 2qwork 증가하였다. 이 두가지 공정을 접목시킨 즉 RTN 처리후 wet oxidation 처리된 시편의 경우는 as-deposited Ta2O5 시편에 비해서 -1.5V에서 누설전류는 1 order 정도 감소하였고, accumulation 지역에서의 capacitance 값은 약 2배 증가하였다. 즉 as deposited Ta2O5 시편의 accumulation 지역의 capacitance 값은 12.8 fF/um2으로써 그 유효두께는 27.0 이었지만, RTN 처리후에 wet oxidation 시킨 시편의 accumulation 지역의 capacitance값은 21.2fF/um2으로써 그 유효두께는 16.3 이 되었다. 결론적으로 as deposited Ta2O5 시편에 RTN 처리후 wet oxidation을 실시한 결과 capacitance 값이 약 2배정도 증가하였고 누설전류는 약 1 order 정도 감소됨을 확인하였다. -
현재 급격히발전하는 이동통신기술로 미루어 보아 앞으로는 모든 정보통신이 무선통신으로 이루어질 것이다. 그런데 무선통신은 이동성과 대용량의 정보전송에 초점을 맞추어 발전하고 있다. 많은 정보량을 전달하기 위해서 현재 사용되는 주파수 대역보다 고주파의 전파가 사용되어야 한다. 또한 이동성을 향상시키기 위해서는 통신기기의 소형화를 이루어야 하고 그러기 위해서 궁극적으로 모든 소자를 하나의 칩(chip)으로 집적화하는 것이 필요하다. 따라서 벌크상태로 사용되고 있는 유전체 공진기를 소형화, 즉 박막화해야만 한다. 결국 유전체 박막의 마이크로파 대역에서의 유전특성을 연구하고 그 특성을 향상시켜야만 한다. 통신기기에서 사용되는 유전체 공진기는 소형화를 위해 높은 유전율과 낮은 유전손실(tan
$\delta$ ), 즉 높은 품질계수 (Q)를 가져야 한다. 마이크로파 대역에서 사용되고 있는 유전체 중에서 TiO2는 벌크 상태의 rutile 상에서 100정도의 높은 유전율과, 4 GHz에서 10,000 정도의 높은 품질계수를 나타낸다고 보고되어 있다. 따라서 본 연궁서는 TiO2 박막의 마이크로파 유전특성을 연구하였고 anatase 박막의 유전특성도 측정하였다. TiO2 박막을 RF magnetron reactive sputtering 방법으로 Ar (15 sccm)과 O2 (1.5 sccm) 기체를 사용하여 상온에서 증착하였다. 4mTorr의 증착압력에서 안정한 rutile 박막을 얻었고, 15 mTorrdo서 준안정한 anatase 박막을 얻을 수 있었다. 그리고 그 중간의 압력에서 두 상이 혼합된 박막이 증착되었다. 위와 같은 방법으로 형성한 TiO2 박막의 마이크로파 유전특성을 측정하기 위해 마이크로스트립 링공진기 (microstrip ring resonator)를 제작하였다. 마이크로스트립 링 공진기는 링의 원주길이가 전자기파 파장길이의 정수배가 되면 공진이 일어나는 구조이다. Fused quartz를 기판으로 하여 증착압력을 변수로 하여 TiO2 박막을 증착하였다. 그리고 그 위에 은 (silver)을 사용하여 링 패턴을 형성하였다. 이와 같이 공진기를 제작하여 network analyzer (HP 8510C)로 마이크로파 대역에서의 공진특서을 측정하였다. 공진특성으로부터 전체 품질계수와 유효유전율, 그리고 TiO2 박막의 품질계수를 얻어내었다. 측정결과 rutile에서 anatase로 박막의 상이 변할수록 유전율은 감소하고 유전손실은 증가하는 결과를 나타내었다. -
반도체 소자가 미세화 됨에 따라 게이트 유전막으로 사용되는 SiO2의 박막화가 요구되나, boron penetration에 의한 Vt shift, 게이트 누설전류, 다결정 실리콘 게이트의 depletion effect 그리고 quantum mechanical effect 때문에 ~20 급에서 한계를 나타내고 있다. 이에 0.1
$\mu\textrm{m}$ 이상의 design rule을 갖는 logic이나 memory 소자에서 요구되어지는 ~10 급 게이트 산화막은 SiO2(K=3.9)를 대신하여 고유전율을 갖는 재료의 채택이 필수 불가결하게 되었다. 고유전 박막 재료를 사용하면, 두께를 두껍게 해도 동일한 inversion 특성이 유지되고 carrier tunneling 이 덜하여 등가 산화막의 두께를 줄일 수 있다. 이러한 고유전박막 재료중 가장 활발히 연구되고 있는 재료는 Ta2O5, Al2O3, STO 그리고 BST 등이 있으나 Ta2O5, STO, BST 등은 실리콘 기판과 직접 반응을 한다는 문제를 가지고 있으며, Al2O3는 유전율이 낮의 재료가 최근 주목받고 있다. 본 실험에서는 ZrO2, HfO2 또는 그 silicates 등의 재료가 최근 주목 받고 있다. 본 실험에서는 ZrO2 박막의 증착조건에 따른 물리적, 전기적 특성 변화에 대하여 연구하였다. RCA 방식으로 세정한 P-type (100) 실리콘 기판위에 reactive DC sputtering 방법으로 압력 5mtorr, power 100~400W, 기판온도는 100-50$0^{\circ}C$ 로 변화시켜 ZrO2 박막을 증착한 후 산소와 아르곤 분위기에서 400-80$0^{\circ}C$ , 10-120min으로 열처리하였다. 증착직후의 시편들과 열처리한 ZrO2 박막의 미세구조와 전기적 특성 변화를 관찰하였다. 우선 굴절율(RI)를 이용해 ZrO2 박막의 밀도를 예측하여 power와 기판온도에 따라 이론값 2.0-2.2 에 근접한 구조를 얻은 후 XRD, XPS, AFM, 그리고 TEM을 사용하여 ZrO2 박막의 chemical bonding, surface roughness 그리고 interfacial layer의 특성을 관찰하였다. 그리고 C-V, I-V measurement를 이용해 capacitance, 유전율, 누설전류 등의 전기적 특성을 관찰해 최적 조건을 설정하였다. -
최근 반도체 소자의 고집적화 및 대용량화의 경향에 다라 MOSFET 소자 제작에 이동되는 게이트 산화막의 두께가 수 nm 정도까지 점점 얇아지는 추세이고 Giga-DRAM급 차세대 UNSI소자를 제작하기 위해 5nm이하의 게이트 절연막이 요구된다. 이런 절연막의 두께감소는 게이트 정전용량을 증가시켜 트랜지스터의 속도를 빠르게 하며, 동시에 저전압동작을 가능하게 하기 때문에 게이트 산화막의 두께는 MOS공정세대가 진행되어감에 따라 계속 감소할 것이다. 따라서 절연막 두께는 소자의 동작 특성을 결정하는 중요한 요소이므로 이에 대한 정확한 평가 방법의 확보는 공정 control 측면에서 필수적이다. 그러나, 절연막의 두께가 작아지면서 게이트 산화막과 crystalline siliconrksm이 계면효과가 박막의 두께에 심각한 영향을 주기 때문에 정확한 두께 계측이 어렵고 계측방법에 따라서 두께 계측의 차이가 난다. 따라서 차세대 반도체 소자의 개발 및 양산 체계를 확립하기 위해서는 산화막의 두께가 10nm보다 작은 1nm-5nm 수준의 박막 시료에 대한 두께 계측 방법이 확립이 되어야 한다. 따라서, 본 연구에서는 습식 산화 공정으로 제작된 3nm-7nm 의 게이트 절연막을 현재까지 알려진 다양한 두께 평가방법을 비교 연구하였다. 절연막을 MEIS (Medim Energy Ion Scattering), 0.015nm의 고감도를 가지는 SE (Spectroscopic Ellipsometry), XPS, 고분해능 전자현미경 (TEM)을 이용하여 측정 비교하였다. 또한 polysilicon gate를 가지는 MOS capacitor를 제작하여 소자의 Capacitance-Voltage 및 Current-Voltage를 측정하여 절연막 두께를 계산하여 가장 좋은 두께 계측 방법을 찾고자 한다.다. 마이크로스트립 링 공진기는 링의 원주길이가 전자기파 파장길이의 정수배가 되면 공진이 일어나는 구조이다. Fused quartz를 기판으로 하여 증착압력을 변수로 하여 TiO2 박막을 증착하였다. 그리고 그 위에 은 (silver)을 사용하여 링 패턴을 형성하였다. 이와 같이 공진기를 제작하여 network analyzer (HP 8510C)로 마이크로파 대역에서의 공진특서을 측정하였다. 공진특성으로부터 전체 품질계수와 유효유전율, 그리고 TiO2 박막의 품질계수를 얻어내었다. 측정결과 rutile에서 anatase로 박막의 상이 변할수록 유전율은 감소하고 유전손실은 증가하는 결과를 나타내었다.의 성장률이 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 둔화됨을 볼 수 있다. 또한 Silane 가스량이 적어지는 영역에서는 가스량의 감소에 의해 성장속도가 줄어들어 성장률이 Silane가스량에 의해 지배됨을 볼 수 있다. UV-VIS spectrophotometer에 의한 비정질 SiC 박막의 투과도와 파장과의 관계에 있어 유리를 기판으로 사용했으므로 유리의투과도를 감안했으며, 유리에 대한 상대적인 비율 관계로 투과도를 나타냈었다. 또한 비저질 SiC 박막의 흡수계수는 Ellipsometry에 의해 측정된 Δ과 Ψ값을 이용하여 시뮬레이션한 결과로 비정질 SiC 박막의 두께를 이용하여 구하였다. 또한 Tauc Plot을 통해 박막의 optical band gap을 2.6~3.7eV로 조절할 수 있었다. 20
$0^{\circ}C$ 이상으로 증가시켜도 광투과율은 큰 변화를 나타내지 않았다.부터 전분-지질복합제의 형성 촉진이 시사되었다.이것으로 인하여 호화억제에 의한 노화 방지효과가 기대되었지만 실제로 빵의 노화는 현저히 진행되었다 -
급증하는 무선통신 정보수요는 특히, 고주파대역 (300NHz-300GHz)에서 사용되는 공진기, 필터, 발진기 등과 같은 소자의 품질향상을 요구하고 있다. 고주파용 유전체 중 ZrTiO4 는
$\alpha$ -PbO2 계열의 사방정구조를 갖고 있는 유전체로서 높은 유전율 ($\varepsilon$ =40)과 높은 품질계수 (Q=1/tan$\delta$ =4700 at 7GHz)를 갖고 있고, Sn 첨가시 0ppm/$^{\circ}C$ 의 공진주파수 온도계수를 얻을 수 있다고 보고되어 있다. 본 연구에서는 약 110$0^{\circ}C$ 이상에서 안정한 상으로 존재하는 ZrTiO4를 저온에서 증착하여 준안정한 상태로 결정화되게 한후, 유전손실 (tan$\delta$ )과 유전율($\varepsilon$ )을 측정하였다. 또한 증착온도와 열처리과정에 따른 박막의 us형 (Strain) 정도의 변화를 X-선 회절결과로부터 분석하였으며 이를 측정된 유전특성 값과 비교하였다. ZrTiO4 박막은 DC magnetron reactive sputter로 Zr과 Ti 타겟으로부터 high phosphorous doped Si (100) 기판위에 증착하였다. 압력은 4mTorr로 유지하고 박막의 화학양론적 조성비를 맞추기 위해 각 타겟에 가해지는 power는 Zr/Ti=500W/650W로 고정하고, 반응가스의 비율을 Ar/O2=17sccm/3.5sccm으로 유지하여 박막내에 인입되는 산소량을 제어하였다. 증착 직후와 열처리 후의 박막특성을 비교하기 위해 증착온도를 상온에서부터$600^{\circ}C$ 까지 변호시키고 증착후 각각의 시편을 80$0^{\circ}C$ 산소분위기에서 2시간동안 열처리하여 시편을 준비하였다. 박막의 상형성 여부와 결정성변화는$ heta$ -2$\theta$ X-선 회절법을 사용하여 조사하였고, EPMA를 이용하여 박막의 조성을 확인하였다. 유전특성의 측정을 위해 백금 상부전극을 증착한 후, impedance analyzer를 이용하여 100kHz 영역에서의 유전손실을 측정하고, 측정된 정전용량과 박막의 두께로부터 유전율을 계산하였다. ZrTiO4 박막은 증착온도 20$0^{\circ}C$ 이상에서 결정성을 보이기 시작했으며, 열처리 이후에는 상온에서 비정질이었던 시편이$650^{\circ}C$ 이상의 온도에서 결정화되기 시작하였다. 증착온도에 따라 유전손실은 0.038에서 0.017 정도로 감소하는 경향을 나타냈으며, 각각 열처리에 의해서 0.034, 0.005 정도로 다시 감소하였다. 박막의 유전율은 약 35 정도의 값을 나타내었으며 X-선 회절 data로부터 분석한 박막의 변형은 증온도에 따라 7.2%에서 0.04%로 감소하였고 이 이경향은 유전손실은 감소경향과 일치하였다. -
AC형 PDP에서 보호막은 방전시 내구성(내 sputter)이 약한 유전체를 보호함으로써 panel이 장시간동안 안정된 동작을 하게 하며, 방전시 2차 전자를 많이 방출함으로써 방전전압을 낮추는 기능을 갖는다. 또한 패널의 전압특성을 결정하고 수명을 크게 좌우하며 방전전극을 플라즈마 발광에 의한 이온 스퍼터로부터 보호하고 벽전하에 의한 메모리 기능을 가지도록 하는 역할도 하는 것으로 알려져 있다. 일반적으로 보호막의 재료로는 MgO, ZrO, CeO2등이 있으며 특히 MgO는 보호막으로 널리 쓰이고 있다. 일반적으로 MgO의 증착방법의 전자빔 증착, 스퍼터링, 이온 플레이팅법이 있으며 많은 연구자들이 이러한 증착방법에 성장된 박막들을 연구하고 있다. 그러나 Cs을 이용한 MgO의 증착방법은 그리 널리 알려져 있지는 않다. 따라서 본 연구에서는 Ar/O2/Cs을 이용하여 MgO박막을 sputtering 방법으로 증착하였으며 이들의 특성에 관하여 연구하였다. Target으로는 Mg을 사용하였으며 DC sputtering법으로 MgO를 증착하였다. 기판으로는 실리콘과 유리를 이용하였으며 가스로는 Ar과 O2를 이용하고 Cs의 첨가 유무에 따라 증착하였다. 또한 입력 전력, 공정압력, 그리고 O2 가스량에 따라 박막을 증착하였으며 이에 따른 증착속도, 결정성, 조성비를
$\alpha$ -step, XRD, 그리고 XPS를 이용하여 측정하였다. CS 참가할 경우 Ar/O2 가스만을 이용하여 증착했을 때보다 증착속도는 증가하였으며 XRD 분석시 (111), (200) 방향으로 우선 성장하는 것을 관찰할 수 있었다. -
Sol-Gel법은 산화물 전구체(precursor) 상태인 Sol상태로부터 가수분해, 중, 축합반응을 거쳐 최종적으로 Gel 산화물을 합성하는 방법이며 기존의 세라믹스를 합성할 수 있고 고순도의 균질한 화합물을 용이하게 얻을 수 있는 특징이 있다. 최근 전자부품이 소형, 경량화되는 추세에 따라 전자 세라믹스분야에서도 박막화가 대두되고 있는 가운데 Sol-Gel법은 dipping, spining 및 spray 법등을 이용하여 박막의 제작이 가능하며 CVD, PVD, sputtering 법등과 같은 박막제작에 비하여 장비가 복잡하지 않으면서 제작기법이 간단한 이점을 가지고 있다. 소재면에서 볼 때 TiO2 물질은 물리적, 화학적으로 안정하고 굴절율, 착샐율 및 반사율 등이 우수한 재료로서 세라믹스 콘센서, 압전소자, 습도센서와 가스센서분야등에 있어서 중요한 위치를 점하고 있어서 연구자들에게 많은 관심을 가지게 하였다. 본 연구에서는 Sol-Gel법에 의해 TiO2 Sol을 합성한 후 dipping 법으로 박막을 제작하고 박막의 전기전도 특성 및 습도센서소재로의 개발을 위해 습도감지특성에 주목하였고 경시변화로 인해 생성된 Gel powder의 물성에 대해서도 검토하였다.
-
최근 질화물 반도체를 이용한 단파장 laser diode (LD)와 ultraviolet light emitting diode (LED)에 관한 관심의 증가로 인하여 AlGaN의 성장에 관한 연구가 많이 진행되고 있다. Metalorganic chemical vapor deposition (MOCVD)법을 이용한 AlGaN 성장에 있어서는 Al의 전구체로 널리 사용되고 있는 trimethylaluminum (TMAl)과 암모니아와의 기상에서의 adduct 형성을 억제하기 위하여 주로 저압에서 성장을 하거나 원료 가스의 유속을 증가시키는 방법으로 연구가 되고 있다. 또한, AlN의 경우 GaN보다 녹는점이 매우 높기 때문에 일반적으로 Al을 포함하는 질화물 반도체의 성장에 있어서는 GaN보다 녹는점이 매우 높기 때문에 일반적으로 Al을 포함하는 질화물 반도체의 성장에 있어서는 GaN 성장 시보다 높은 온도에서 성장이 이루어지고 있다. MOCND법을 이용하여 AlGaN를 성장시키는 대부분의 연구들은 100
$0^{\circ}C$ 이상의 고온에서의 성장 온도가 AlGaN특성에 미치는 영향에 대한 것으로 국한되고 있다. 그러나, InGaN/GaN multiple quantum wells (MQWs) 구조의 LD나 LED를 성장시키는 경우 In의 desorption을 억제하기 위하여 MQWs층 위에 저온에서 AlGaN를 성장하는 데 있어서 AlGaN의 성장 온도를 500-102$0^{\circ}C$ 로 변화시키면서 AlGaN의 성장거동을 고찰하였다. GaN는 사파이어 기판을 수소분위기하에서 고온에서 가열한 후 저온에서 GaN를 이용한 핵생성층을 성장하고 102$0^{\circ}C$ 의 고온에서 1.2$\mu\textrm{m}$ 정도의 두께로 성장하였다. AlGaN는 고온에서 성장된 GaN 위에 200Torr의 성장기 압력 하에서 trimethylgallium (TMGa)과 TMAl의 유속을 각각 70$\mu$ mol/min 으로 고정한 후 성장온도만을 변화시키며 증착하였다. 성장 온도가 낮아짐에 따라 AlGaN의 표면거칠기가 증가하고, 결함과 관련된 포토루미네슨스가 현저히 증가하는 것이 관찰되었다. 그러나, 성장온도가 50$0^{\circ}C$ 정도로 낮아진 경우에 있어서는 표면 거칠기가 다시 감소하는 것이 관찰되었다. 이러한 현상은 저온에서 표면흡착원자의 거동에 제한이 따르기 때문으로 생각되어진다. 또한, 성장 온도가 낮아짐에 따라 AlGaN의 성장을 저해하기 때문으로 판단된다. 성장 온도 변화에 따라 성장된 V의 구조적 특성 및 표면 거칠기 변화를 관찰하여 AlGaN의 성장 거동을 논의하겠다. -
직접 천이형 물질인 GaN는 그 연구가 활발히 진행되어 청색 발광 및 레이저 다이오드 구현을 이룩하였고, 열적인 안정성이 뛰어나 고온, 고출력 소자용으로도 주목받을 뿐 아니라, piezoelectric, acoustioptic modulators와 negative electron affinity devices와 같은 소자개발도 유망하다. 그러나 이렇게 다양한 응용과 물리적 특성에도 불구하고 깊은 준위의 불순물에 대한 문제는 해결되지 않은 상태이다. 많은 연구에도 불구하고 GaN에 존재하는 불순물의 성격과 그것들이 전도대에 미치는 영향에 관해서는 잘 이해되지 않고 있다. 본 연구에서는 MBE로 성장된 undoped GaN 박막의 깊은 준위에 대한 연구를 위하여 TSC 장치를 이용하여 GaN 깊은 준위를 분석하였다. TSC 실험은 77K에서 400K 사이 온도의 전류 변화를 관찰하였으며 깊은 준위의 활성화 에너지 및 포획 단면적 그리고 방출 진동수를 구하기 위하여 Initial rise method, Peak shape method, Heating rate method, Peak temperature method 등을 이용하였다. 또한 trap의 origin을 밝히기 위해서 수소화를 한후에 TSC 측정을 해보았다.
-
직접천이형 wide band gap(3.4eV) 반도체중의 하나인 GaN를 청색 및 자외선 laser diode, 고출력 전자장비 등으로 응용하기 위해서는 낮은 접합저항을 갖는 Ohmic contact이 선행되어야 한다. 그러나 만족할만한 p-type GaN의 Ohmic contact은 아직 실현되고 있지 못하며, 이는 GaN와 접합 금속과의 구체적인 반응의 연구를 필요로 한다. 본 연구에서 앞서 Pt, Pt, Ni등의 late transition metal을 p-GaN에 접합시킨 결과 이들은 접합 당시 비교적 평탄하나 후열 처리과정에서 비교적 낮은 온도에서 기판과 열팽창계수의 차이로 인하여 평탄성을 잃어버리면서 barrier height가 증가한다는 사실을 확인하였다. 따라서 본 연구에서는 이러한 열적 불안정성을 극복하기 위하여 Ni과 Pd를 차례로 증착하고 가열하면서 interfacial reaction, film morphology, Fermi level의 움직임을 monchromatic XPS(x-ray photoelectron spectroscopy) 와 SAM(scanning Auger microscopy) 그리고 ex-situ AFM을 이용하여 밝히고자 하였다. 특히 후열처리에 의한 계면 반응에 수반되는 구성 금속원소 간의 합금현상과 금속 층의 평탄성이 밀접한 관계가 있다는 것을 확인하였다. 이러한 합금과정에서 나타나는 금속원소들의 중심 준위의 이동을 체계적으로 규명하기 위해서 Pd1-xNix와 Pd1-xGax 합금들의 표준시료를 arc melting method로 만들어 농도에 따른 금속원소들의 중심 준위의 이동을 측정하여, Pd/Ni/p-GaN 및 Ni/Pd/p-GaN 계에서 열처리 온도에 따른 interfacial reaction을 확인하였다. 그 결과 두 계가 상온에서 nitride 및 alloy를 형성하지 않고 고르게 증착되고, 열처리 온도를 40
$0^{\circ}C$ 에서$650^{\circ}C$ 까지 증가시킴에 따라 계면반응의 부산물인 metallic Ga은 증가하고 있으마 nitride는 여전히 형성되지 않는 것을 확인하였다. 증착당시 Ni이 계면에 있는 Pd/Ni/p-GaN의 경우에는 52$0^{\circ}C$ 까지의 열처리에 의하여 Ni과 Pd가 골고루 섞이고 그 평탄성도 유지되고 barier height의 변화도 없었다. 더 높은$650^{\circ}C$ 가열에 의해서는 surface free energy가 작은 Ga의 활발한 편석 현상으로 인해 표면은 Ga이 풍부한 Pd-Ga의 합금층으로 덮이고, 동시에 작은 pinhole들이 발생하며 barrier height도 0.3eV 가량 증가하게 된다. 반면에 증착당시 Pd이 계면에 있는 Ni/Pd/p-GaN의 경우에는 40$0^{\circ}C$ 의 가열까지는 두 금속이 그들 계면에서부터 섞이나, 52$0^{\circ}C$ 의 가열에 의해 이미 barrier height가 0.2eV 가량 증가하기 시작하였다. 더 높은$650^{\circ}C$ 가열에 의해서는 커다란 pinhole, 0.5eV 가량의 barrier height 증가, Pd clustering이 동시에 관찰되었다. 따라서 Ni과 Pd의 일함수는 물론 thermal expansion coefficient가 거의 같으며 surface free energy도 거의 일치한다는 점을 감안하면, 이렇게 뚜렷한 열적 안정성의 차이는 GaN와 contact metal과의 반응시작 온도(disruption onset temperature)의 차이에 기인함을 알 수 있었다. 즉 계면에서의 반응에 의해 편석되는 Ga에 의해 박막의 strain이 이완되면, pinhole 등의 박막결함이 줄어 들고, 이는 계면의 N의 out-diffusion을 방지하여 p-type GaN의 barrier height 증가를 막게 된다. -
III-nitride 게 물질들은 blue와 UV 영역의 LED, LD와 같은 광소자뿐만 아니라 HBT, FET와 같은 전자소자로도 널리 응용되고 있다. 이와 같은 물질을 이용한 소자를 제작할 경우 낮은 저항의 ohmic contact은 필수적이다. p-GaN의 ohmic contact은 아직까지 많은 문제점을 내포하고 있다. 그 중의 하나는 높은 doping 농도(>1018cm-3)의 p-GaN 박막을 성장하기가 어렵다는 것이며, 또 하나는 낮은 접촉 비저항을 얻기 위해선 7.5eV 이상의 큰 재가 function을 지닌 금속을 선택해야 한다. 그러나 5.5eV 이상의 재가 function을 갖는 금속은 존재하지 않는다. 위와 같은 문제점들은 p-GaN의 접촉 비저항이 10-2
$\Omega$ cm2이상의 높은 값을 갖게 만들고 있으며 이에 대한 해결방안으로는 고온의 열처리를 통하여 p-GaN와 금속사이에서 화학적 반응을 일으킴으로써 표면근처에서 캐리어농도를 증가시키고, 캐리어 수송의 형태가 tunneling 형태로 일어날 수 있도록 하는 tunneling current mechaism을 이용하는 것이다. 이에 본 연구에서는 MOCVD로 성장된 p-GaN 박막을 Mg의 activation을 증가시키기 위해 N2 분위기에서 4분간 80$0^{\circ}C$ 에서 RTA로 annealing을 하였으며, ohmic 접촉을 위한 금속으로 높은 재가 function과 좋은 adhesion 그리고 낮은 자체저항을 가지고 있는 Ni/ZSi/Ni/Au를 ohmic metal로 하여 contact한 후에$700^{\circ}C$ 에서 1분간 rapid thermal annealing (RTA) 처리를 했다. contact resistance를 계산하기 위해 circular-TLM method를 이용하여 I-V 특성을 조사하였고, interface interaction을 알아보기 위해 SEM과 EDX, 그리고 XRD로 분석하였다. 또한 추가적으로 Si 계열의 compound metal인 PdSi와 PtSi에 대한 I-V 특성도 조사하여 비교하여 보았다. -
Wide band gap을 갖는 III-V족 반도체인 GaN는 파란색에서 자외선영역에 이르는 발광소자용으로, 그리고 최근에는 전자소자로도 가장 유망한 반도체 중의 하나이다. 하지만 격자상수가 일치하는 적당한 기판이 존재하지 않아 성장된 GaN 박막 내에는 많은 결함들이 존재하게 된다. 일반적으로 가장 널리 쓰이는 기판은 사파이어 기판이 주로 이용되고 있는데 사파이어는 GaN와 격자상수 불일치가 16%에 이르므로 고품질의 GaN 박막을 성장시키기 위해서는 격자상수 불일치를 어느 정도 완화시키면서 초기성장과정의 컨트롤이 매우 중요하다. 이러한 방법들로는 GaN박막 성장 전에 사파이어 기판 질화처리를 하거나 buffer 층을 도입하는 것인데, 이에 관한 많은 연구들이 보고되고 있다. 하지만 각각 두 공정에 관한 연구는 많이 되어 있지만 두 공정사이를 연결해 주는 공정처리법에 관한 연구는 보고되고 있지 않다. 따라서 본 연구에서는 사파이어기판 질화처리를 한 후 buffer 층을 성장시키기 전까지 chamer 내부의 분위기 가스가 GaN 박막성장 거동에 어떤 영향을 주는지에 관해 연구하였다. 질화처리 후 chamber 내부의 분위기 가스가 GaN 박막 성장 거동에 미치는 영향을 연구하기 위하여 두 개의 시편 A,B를 준비하였다. 시편 A는 먼저 사파이어 기판을 유기용매를 이용하여 cleaning 한 후 장비에 장입되었다. 수소분위기하에서 10nsrks 104
$0^{\circ}C$ 에서 가열한 후 30초간 암모니아 유속을 900sccm으로 유지하며 사파이어 기판 질화처리를 수행하였다. GaN buffer 층을 성장하기 위하여 104$0^{\circ}C$ 에서 56$0^{\circ}C$ 로 온도를 내리는 과정중 질화처리를 위하여 흘려주었던 암모니아 유속을 차단한 채 수소분위기에서만 온도를 내렸다. 56$0^{\circ}C$ 에서 GaN buffer 층을 300 성장시킨 후 102$0^{\circ}C$ 의 고온에서 2$\mu\textrm{m}$ 두께로 GaN 박막을 성장하였다. 시편 B는 질화처리 후 단계부터 GaN 박막성장 단계에 이르기까지 AFM을 이용하여 두 시편의 성장거동을 비교 분석하였다. 두 시편의 표면을 관찰한 결과 시편 A는 2차원적 성장을 하며 매우 매끄러운 표면을 갖는데 반해, 시편 B는 3차원적 성장을 하며 매우 거친 표면을 보였다. 또한 두 시편 A, B를 XRD, PL, Hal 측정으로 분석한 결과 시편 A가 시편 B보다 우수한 구조적, 광학적, 전기적 특성을 보였다. -
Heo, Chul;Kim, Hyun-Soo;Kim, Sang-Woo;Lee, Ji-Myun;Kim, Dong-Jun;Kim, Hyun-Min;Park, Sung-Joo 116
질화물 반도체는 LED, LD, Transistor, 그리고 Photodetector 등 광소자 및 전자소자를 실현할 수 있는 소재로써 최근에 각광 받고 있으며, 또한 국·내외적으로 연구가 활발히 진행되고 잇다. 질화물 발광 다이오드 제작에는 소자의 효율과 수명시간의 향상을 위하여 질화물 반도체와 금속과의 접합시 고 품질의 오믹 접합이 필수적이다. 특히 p-형 GaN의 경우에는 높은 정공 농도를 갖는 p-형 GaN를 얻기가 어렵고 GaN의 일함수에 비하여 높은 일함수를 갖는 금속이 없기 때문에 매우 낮은 접합 저항을 가지며 안정성이 매우 우수한 금 접합을 얻기가 어렵다고 알려져 있다. 또한, GaN 계열의 발광 다이오드는 일반적으로 표면 발광 다이오드 형태로 제작되기 때문에 p-형 GaN 층의 오믹 접촉으로 사용되는 금속의 전기적 특성뿐만 아니라 발광 다이오드의 활성층에서 발광되어 나오는 빛에 대한 투과도 또한 우수하여야 발광 다이오드의 효율이 우수해진다. 본 연구에서는 p-형 GaN층의 접합 금속으로 Pt(80nm)과 Ni(5nm)/Au(7nm)를 사용하여 InGaN/GaN 다중양자우물 구조의 발광 다이오드를 제작하여 전기적 특성 및 발광효율을 측정하였다. 그리고, Pt(80nm)과 p-형 GaN와의 접합시 온도 변화에 따른 전기적 특성을 TLM 방법으로 조사하고, 가시광선 영역에서의 빛에 대한 투과도를 UV/VIS spectrometer, X-ray reflectivity, 그리고 Atomic Force Microscopy 등을 이용하여 분석하였다. -
높은 광학적 투과성과 전기전도성을 갖는 ITO film은 solar cell같은 optoelectronic device나 휴대용 소형 TV, flat panel display 등의 투명전극으로 그 응용 분야가 광범위하여 많은 연구가 수행되어져 왔다. 기판으로서 유리를 사용할 때 생기는 활용범위 제한을 극복하고자 최근 유기물 위에 증착이 가능한 저온 증착방법에 대한 연구가 활발히 이루어지고 있다. 그 가운데 이온빔과 같은 energetic한 beam을 이용한 박막의 제조는 기판을 플라즈마 발생지역으로부터 분리시켜 이온빔의 flux 및 에너지, 입사각 등의 자유로운 조절을 통해 상온에서도 우수한 성질의 박막형성 가능성이 제시되어 지고 있다. ITO박막을 형성하는 방법 중 스프레이법이나 CVD법과 같은 화학적 증착방법은 증착시 350-50
$0^{\circ}C$ 의 고온이 필요하고 현재 가장 많이 응용되어 지고 있는 sputter법은 15$0^{\circ}C$ 정도의 가열이 필요하므로 앞으로 응용가능성이 매우 커서 많은 연구가 진행중인 플라스틱과 아크릴 같은 flexible 한 기판위 증착에 적용이 불가능하다. 본 실험에서는 IBAD(Ion Beam Assisted Deposition)법을 이용하여 저온 ITO film을 유리와 유기막위에 증착하는 연구를 수행하였다. 유기막위에 증착된 ITO는 보다 가볍고 충격에 강하고 유리에 못지 않은 투과성을 가지고 있으나 현재 film의 quality 향상에 대한 요구가 증대되어 지고 있는 실정이다. 따라서, 본 실험에서는 dual oxygen ion gun의 조건변화에 따른 ITO film의 특성변화를 관찰하였다. 고정된 증?율에 한 개 ion gun에 ion flux를 고정시킨 후 또 다른 ion gun에서 발생하는 oxygen radical의 영향을 조사하였으며 oxygen radical의 rf power에 따른 변화는 OES(Optical emission spectroscopy)를 사용하였다. 너무 적은 oxygen ion beam flux나 oxygen radical은 film의 전도도 및 투과도를 저하시켰고 반면 너무 과도한 flux의 증가 시는 전도도는 감소하였고 투과도는 증가하는 경향을 보였다. 기판에 도달하는 oxygen ion flux는 faraday cup을 이용하여 측정하였으며 증착된 ITO film은 XPS, UV-spectrometer, 4-point probe를 이용하여 분석하였다. -
We investigate Frohlich-like electron--optical-phonon interactionsin uniaxial crytals based on the macroscopic dielectric continuum model. In general, the optical-phonon branches support mixed longitudinal and transverse modes due to the anisotropy. For heterostructures with double interfaces and superlattices, it is known that confined, interface, and half-space optical phonon modes exist in zincblende cystals. In uniaxial structures, additional propagating modes may exist in wurtzite heterosystems due to anisotropic phonon dispersion. This is especially the case when the dielectric properties of the adjacent heterostructure materials do not differ substantially. The dispersion relations and the interaction Hamiltonians for each of these modes are derived.
-
반도체 산업의 발달에 따라 소자의 보다 빠른 동작 속도와 큰 집적도를 갖은 ULSI 구조를 얻기 위해, 새로운 금속배선 재료가 요구되고 있다. 기존의 금속 배선인 Al 및 Al 합금은 비교적 낮은 비저항과 박막형성의 용이함으로 인하여 현재까지 금속배선 재료로 사용되고 있으나, 고집적화에 따라 RC Time Delay와 Electromigration의 문제점을 들어내었다. 이러한 문제를 해결할 새로운 배선 재료로 Al보다 낮은 비저항을 가지며, electromigration 저항성을 갖는 Cu 금속배선 재료가 활발히 연구되고 있다. 본 실험에서는 (100) Si 웨이퍼를 기판으로 사용하였으며, 각층은 SiO2/Si3N4/EP Cu/Seed Cu/ TaN/SiO2/Si wafer 상태로 증착하였다. 확산방지막으로 TaN을 사용하였고, seed Cu는 sputtering 으로 증착하였으며, seed Cu 만으로 된 박막과 seed Cu + electro plating Cu로 구성된 박막을 제작하였다. 제작 완료된 박막은 N2 분위기에서 20
$0^{\circ}C$ 120 min, 45$0^{\circ}C$ 60min 동안 열처리하여 Cu 박막의 조직 변화를 TEM 및 여러 분석방법을 이용하여 분석하였다. Plan-view TEM결과, 45$0^{\circ}C$ , 60min 열처리함에 따라 결정립 성장이 일어난 것을 확인 할 수 있었다. 그러나, 성장후에도 twin boundary, stacking fault, dislocation, small defect 등은 여전히 남아 있음이 관찰된다. 그림 1(a)는 as-deposit 상태이며, 그림 1(b)는 45$0^{\circ}C$ , 60min 열처리한 plan-view TEM 사진이다. -
Magnesium oxide is thermodynamically very stable, has a low dielectric constant and a low refractive index, and has been widely used as substrate for growing various thin film materials, particulary oxides of the perovskite structure. There has been a considerable interest in integrating the physical properties of these oxides with semiconductor materials such as GaAs and Si. In this regard, it is considered very important to be able to grow MgO buffer layers epitaxially on the semiconductors. Various oxide films can then be grown on such buffer layers eliminating the need for using MgO single crystal substrates. Vapor phase epitaxy of magnesium oxide has been accomplished on Si(001) substrates in a high vacuum chamber using the single precursor methylmagnesium tert-butoxide in the temperature range 750-80
$0^{\circ}C$ . For the epitaxy of the MgO films, SiC buffer layers had to be grown on Si(001). The films were characterized by reflection high energy electron diffraction (RHEED) in situ in the growth chamber, and x-ray diffraction (XRD), x-ray pole figure analysis, scanning electron microscopy (SEM), and x-ray photoelectron spectroscopy (XPS) after the growth. -
선폭이 초미세화됨에 따라 게이트 전극에서의 공핍 현상 및 불순물 확산의 물제를 갖는 poly-Si 게이트를 대체할 전극 물질로 텅스텐(W)이 많이 연구되어 왔다. 반도체 소자의 배선물질로 일찍부터 사용되어온 텅스텐은 내화성 금속의 일종으로 용융점이 높고, 저항이 낮다. 그러나, 일반적으로 사용되고 있는 CVD에 의한 텅스텐의 증착은 반응가스(WF6)로부터 오는 불소(F)의 게이트 산화막내로의 확산으로 인해 MOS 소자가 크게 열화될수 있다. 본 연구에서는 W/TiN 이중 게이트 전극 구조를 갖는 MOS 캐패시터를 제작하여 전기적 특성을 살펴보았다. P-Type (100) Si위에 RTP를 이용, 85
$0^{\circ}C$ 에서 110 의 열산화막을 성장 및 POA를 수행한 후, 반응성 스퍼터링법에 의해 상온, 6mTorr, N2/Ar=1/6 sccm, 100W 조건에서 TiN 박막을 150, 300, 500 의 3그룹으로 증착하였다. 그 위에 LPCVD 방법으로 35$0^{\circ}C$ , 0.7Torr, WF6/SiH4/H2=5/5~10/500sccm 조건에서 2000~3000 의 텅스텐을 증착하였다. Photolithography 공정 및 습식 에칭을 통해 200$\mu\textrm{m}$ $\times$ 200$\mu\textrm{m}$ 크기의 W/TiN 복층 게이트 MOSC를 제작하였다. W/TiN 복측 게이트 소자와 비교분석하기 위해 같은 조건의 산화막을 이용한 알루미늄(Al) 게이트, 텅스텐 게이트 MOSC를 제작하였다. 35$0^{\circ}C$ 에서 증착된 텅스텐 박막은 10~11$\Omega$ / 의 면저항을 가졌고 미소한 W(110) peak값을 나타내는 것으로 보아 비정질 상태에 가까웠다. TiN 박막의 경우 120~130$\Omega$ / 의 면저항을 가졌고 TiN (200)의 peak 값이 크게 나타난 반면, TiN(111) peak가 미소하게 나타났다. TiN 박막의 두께와 WF/SiH4의 가스비를 변화시켜가며 제작된 MOS 캐패시터를 HF 및 QS C-V, I-V 그리고 FNT를 통한 전자주입 방법을 이용하여 TiN 박막의 불소에 대한 확산 방지막 역할을 살펴 보았다. W/TiN 게이트 MOS 소자는 모두 순수 텅스텐 게이트보다 우수하였고, Al 게이트와 유사한 전기적 특성을 보여주었다. W/TiN 게이트 MOS 소자는 모두 순수 텅스텐 게이트보다 우수하였고, Al 게이트와 유사한 전기적 특성을 보여주었다. TiN 박막이 300 , 500 이고 WF6/SiH4의 가스비가 5:10인 경우 소자 특성이 우수하였으나, 5:5의 경우에는 FNT 전자주입 특성이 열화되기 시작하였다. 그리고, TiN박막의 두께가 150 으로 얇아질 경우에는 WF6/SiH4의 가스비가 5:10인 경우에서도 소자 특성이 열화되기 시작하였다. W/TiN 복층 게이트 MOS 캐패시터를 제작하여 전기적인 특성 분석결과, 순수 텅스텐 게이트 소자의 큰 저전계 누설 전류 특성을 해결할 수 있었으며, 불소확산에 영향을 주는 조건이 WF6/SiH4의 가스비에 크게 의존됨을 알 수 있었다. TiN 박막의 증착 공정이 최적화 될 경우, 0.1$\mu\textrm{m}$ 이하의 초미세소자용 게이트 전극으로서 텅스텐의 사용이 가능할 것으로 보여진다. -
다이아몬드에 버금가는 높은 경도뿐만 아니라 높은 화학적 안정성 및 열전도성 등 우수한 물리화학적 특성을 가진 입방정 질화붕소(cubic boron nitride)는 마찰.마모, 전자, 광학 등의 여러 분야에서의 산업적 응용이 크게 기대되는 재료이다. 특히 탄화물형성원소에 대해 안정하여 철계금속의 가공을 위한 공구재료로의 응용 또한 크게 기대된다. 이 때문에 각종의 PVD, CVD 공정을 이용하여 c-BN 박막의 합성에 대한 연구가 광범위하게 진행되어 많은 성공사례들이 보고되고 있다. 그러나 c-BN 박막의 유용성에도 불구하고 아직 실제적인 응용이 이루어지지 못한 것은 c-BN 박막의 증착직후 급격한 박리현상 때문이다. 본 연구에서는 평행자기장을 부가한 ME-ARE(Magnetically Enhanced Activated Reactive Evaporation)법을 이용한 c-BN 박막의 합성에서 적용한 증착공정 인자들의 변화에 따른 박리특성 고찰과 함께 다층박막화 및 제 3원소 혼입 방법을 적용하여 박리특성 향상 정도를 조사하였다. BN 박막합성은 전자총에 의해 증발된 보론과 (질소+아르곤) 플라즈마의 활성화반응증착(Activated Reactive Evaporation)에 의해 이루어졌다. 기존의 ARE 장치와 달리 열음극(got cathode)과 양극(anode) 사이에 평행자기장을 부가하여 플라즈마의 증대시켜 반응효율을 높였다. 합성실험용 모재로는 p-type으로 도핑된 (100) Si웨이퍼를 30
$\times$ 40mmzmrl로 절단 후, 10%로 희석된 완충불산용액에 10분간 침적하여 표면의 산화층을 제거한 후 사용하였다. 박막실험실에서의 주요공정변수는 기판바이어스 전압, discharge 전류, Ar/N2가스유량비이었다. 합성된 박막의 결정성 분석을 FTIR을 이용하였으며, BN 박막의상 및 미세구조관찰을 위해 투과전자현미경(TEM;Philips EM400T) 분석을 병행하였고, 박막의 기계적 물성 평가를 위해 미소경도를 측정하였다. 박리특성의 고찰은 대기중에서의 자발적 박리가 일어나 90%이상의 박리가 진행된 시점까지의 시간을 측정하였고, 증착직후 박막의 잔류응력 변화와 연관하여 고찰해 보았다. -
백금 스퍼터 증착시 아르곤에 산소와 같은 첨가 가스를 사용할 경우 산화막에 대한 접착력이 좋아지며 백금 박막의 우선배향성을 조절할 수 있음이 알려져 있다. 이러한 첨가 가스는 백금 박막에 상당량 포함되며 스퍼터링 후 열처리 과정에서 탈착되는 것으로 알려져 있다. 후열처리 도중 첨가 가스의 탈착 거동이 백금 박막의 미세구조, 조성 및 전기 전도도 등과 같은 제반 물성에 영향을 미칠 것이라 추정된다. 본 연구에서는 백금의 스퍼터링 시 질소를 첨가하여 질소가 포함된 백금 박막을 증착한 후 질소 탈착 거동을 연구하기 위해 실시간 타원해석기(in situ ellipsometer)를 이용하여 진공열처리(15mTorr)하면서 온도변화에 따른 유효굴절율(n)과 소광계수(k) 값을 구하였다. 또한 산소를 첨가하여 얻은 백금 박막의 결과와 비교하여 백금 박막내에 포함된 산소와 질소의 탈착 거동의 차이를 조사하였다. 산소를 이용하여 우선배향성이 (200)으로 조절된 박막의 경우 n과 k의 급격한 변화가 관찰되었으며 이로부터 55
$0^{\circ}C$ 온도에서 산소가 급격히 빠져나감을 추측할 수 있었으며 열처리 후에는 백금 bulk 값에 가까운 값을 가짐을 알 수 있었다. 한편, 질소를 사용하여 (200)으로 우선배향성이 조절된 박막의 경우 n,k 값의 후열처리 도중의 변화 양상은 스퍼터링 압력에 크게 의존하는 것으로 나타났다. 22mTorr에서 스퍼터링한 박막의 경우 23$0^{\circ}C$ 부근에서 굴절률과 미세구조의 변화가 있음을 관찰할 수 있었으나, 10mTorr에서 스퍼터링한 시편의 경우 굴절률의 변화양상은 산소를 상요한 경우와 매우 유사한 거동을 나타내지만 열처리 후에는 상대적으로 낮은 n,k 값을 나타내고 있었다. 또한 열처리 시편의 미세구조 변화에 대한 분석 결과 산소 사용의 경우는 측정온도 범위내에서는 후 열처리 후에도 박막내에 hole이나 hillock 등이 관찰되지 않아 bulk 값에 가까운 n, k 값을 가지지만, 질소 사용의 경우는 hole, 표면 거칠기, 혹은 스퍼터링 중에 인입된 질소의 탈착이 완전히 이루어지지 못해 bulk 값과 다르게 나온 것으로 생각된다. -
다이아몬드상 카본(DLC) 필름은 경도가 높고, 마찰계수가 낮다는 장점을 가지고 있기 때문에 내마모성 코팅이나 윤활성코팅에 응용을 위한 연구가 활발히 진행중이다. 하지만 마찰계수가 주변환경에 매우 큰 영향을 받는다는 단점이 있다. 이러한 단점은 DLC필름의 응용에 대한 저해 요인이 되며, 이 점을 보완하기 위해서 DLC 필름에 Si을 첨가하는 연구들이 진행되고 있다. 본 실험에서는 r.f-PACVD 법을 이용하여 Si이 첨가된 DLC 필름의 주위 환경 변화에 따른 마찰특성의 변화를 연구하였다. 사용한 반응 가스는 벤젠(C6H)과 희석된 Silane(SiH4 : H2 = 10 : 90)이며, 희석된 Silane과 벤젠의 첨가비율을 조절하여 필름내 Si의 함량을 조절하였고, 증착시 바이아스의 전압은 -400V로 하였다. 마찰테스트는 Ball-on-Disk type의 조건에서 대기, 건조공기, 진공의 세가지 분위기에서 마찰테스트를 실행하였다. 실험결과 마찰계수는 건조공기, 대기, 진공의 순으로 증가하였고, 필름내에 포함되어 있는 Si의 양이 증가할수록 마찰계수는 낮고 안정한 값을 나타내었다. Tribochemiacal 분석과, ball과 track의 전자현미경 사진 분석 결과, 진공에 비해서 건조공기와 대기중에서 마찰계수가 낮은 것은 DLC 필름내에 마모 track 중심부에 Si-C-O 계의 화합물이 형성되어, 이 화합물이 마찰계면에 존재하여 마찰계수를 낮추었음을 확인하였다. 그리고 대기중에서 실험한 경우, 습기의 존재로 인해 마모입자가 볼의 표면에서 엉김으로써 건조공기의 상태에서 보다 높은 마찰저항을 갖게 됨으로 인하여 마찰계수가 높아짐을 알 수 있었다.a)는 as-deposit 상태이며, 그림 1(b)는 45
$0^{\circ}C$ , 60min 열처리한 plan-view TEM 사진이다.dical의 영향을 조사하였으며 oxygen radical의 rf power에 따른 변화는 OES(Optical emission spectroscopy)를 사용하였다. 너무 적은 oxygen ion beam flux나 oxygen radical은 film의 전도도 및 투과도를 저하시켰고 반면 너무 과도한 flux의 증가 시는 전도도는 감소하였고 투과도는 증가하는 경향을 보였다. 기판에 도달하는 oxygen ion flux는 faraday cup을 이용하여 측정하였으며 증착된 ITO film은 XPS, UV-spectrometer, 4-point probe를 이용하여 분석하였다. 때문으로 생각되어진다. 또한, 성장 온도가 낮아짐에 따라 AlGaN의 성장을 저해하기 때문으로 판단된다. 성장 온도 변화에 따라 성장된 V의 구조적 특성 및 표면 거칠기 변화를 관찰하여 AlGaN의 성장 거동을 논의하겠다.034, 0.005 정도로 다시 감소하였다. 박막의 유전율은 약 35 정도의 값을 나타내었으며 X-선 회절 data로부터 분석한 박막의 변형은 증온도에 따라 7.2%에서 0.04%로 감소하였고 이 이경향은 유전손실은 감소경향과 일치하였다.는 현저하게 향상되었다. 그 원인은 SB power의 인가에 의해 활성화된 precursor 분자들이 큰 에너지를 가지고 기판에 유입되어 치밀한 박막이 형성되었기 때문으로 사료된다.을수 있었다.보았다.다.다양한 기능을 가진 신소재 제조에 있다. 또한 경제적인 측면에서도 고부가 가치의 제품 -
다이아몬드상 카본(Diamond-like Carbon, DLC) 필름은 비정질 재료로서 다이아몬드와 유사한 높은 경도, 내마모성, 화학적 안정성, 그리고 광학적 특성을 가지고 있으며, 낮은 마찰계수와 높은 탄성률 등으로 인해 많은 분야에서 응용이 연구되고 있는 재료이다. 그러나 DLC 필름이 이러한 우수한 특성이 가지고 있음에도 불구하고 수 GPa에 이르는 높은 압축 잔류 응력으로 인해 응용에 제약을 받고 있다. 이러한 압축 잔류 응력이 상당한 값에 이르게 되면 기판의 구속에서 벗어나게 되어, 기판으로부터 떨어지게 되고 굽힘을 받게 되는 delamination buckling 현상이 일어나기도 한다. 본 연구에서는 높은 잔류 응력으로 인해 자연적으로 발생하는 buckling 현상과 식각 과정을 통해 인위적으로 기판의 제한으로부터 필름을 완화시키는 freehang 방법을 이용하여 필름이 기판에 접착되는데 필요한 에너지를 평가하려고 한다. 본 실험에서는 rf-PACVD 장비를 이용하여 필름을 증착하였다. 이때 전극과 플라즈마 사이의 바이어스 음전압은 -100~700 Vb로 변화를 주었으며, 합성압력은 9mTorr로 고정하였다. 사용한 반응 가스는 메탄(CH4)이고, 아르곤(Ar)을 이용하여 모든 실험에서 동일하게 기판을 전처리 하였다. buckling 현상을 관찰하기 위해 사용된 기판은 slide glass이고, freehang을 제작하기 위해 사용된 기판은 (100) p-type Si wafer 이다. freehang 제작시 사용한 식각 용액은 KOH(5.6mol)이며 외부 요인을 제거하기 위해 7
$0^{\circ}C$ 항온조를 사용하였다. Buckling 된 필름과 freehang은 광학 현미경과 전자 주사 현미경에 의해 관찰되었으며, 사인 함수 형태의 곡면을 가지고 있었다. 또한 freehang 제작시 각각의 주기와 진폭을 통해, 필름과 기판사이의 계면에너지와 buckling 되면서 새로 생성된 두 표면에너지 차이를 구할 수 있게 되고, 이를 통해 접착에너지를 평가할 수 있었다. -
박막의 탄성 특성을 평가하는 방법으로 nano-indentation, Brillouin light scattering measurement, ultrasonic surface wave measurement, bulge test, vibration membrane method 등 여러 가지가 제시되어 왔다. 이러한 방법들은 필름의 두께가 일정 두께 이상이 되어야 정확한 측정이 가능한 방법으로 매우 얇은 박막에서도 탄성특성을 평가할 수 있는 freehang, bridge 방법이 제시되었으며, 이 방법은 간단한 식각 공정을 통해 매우 얇은 박막에도 적용시킬 수 있다는 장점을 가지고 있다. 본 연구에서는 아주 얇은 박막에서도 탄성특성을 평가할 수 있는 freehang 방법을 이용하여 순수한 Diamond-like carbon (DLC) 필름과 Sidl 첨가된 DLC 필름의 탄성 특성을 평가하고자 한다. 실험에서 사용한 필름은 rf-PACVD 장비를 이용하여 증착하였다. 이때 전극과 플라즈마 사이의 바이어스 음전압은 -400 Vb로 합성압력은 10mTorr로 고정하였다. 사용한 반응 가스는 벤젠(C6H6), 그리고 벤젠과 희석된 실렌(SiH4 : H2 = 10 : 90)이며, 희석된 실렌의 첨가량을 조절하여 필름 내에 일정량의 Si을 함유시켰다. 각각의 조건에서 증착시간을 조절하여 필름의 두께를 변화시켰으며, KOH(5.6mol) 용액을 이용하여 습식 식각을 함으로써 freehang을 제작하였다. 이때 식각액에 의한 DLC 필름의 손상은 관찰되지 않았다. 필름의 잔류 응력을 측정하기 위해 200
$\pm$ 10 혹은 100$\pm$ 5$\mu\textrm{m}$ 두께의 얇은 (100) Si wafer를 5$\times$ 50 mm2의 strip 형태로 절단하여 사용하였다. 필름의 압축 잔류 응력에 의해 발생한 필름/기판 복합체의 곡률은 laser 반사법과$\alpha$ -step profiler를 이용하여 측정하였으며, 이 결과를 Brenner 등에 유도된 식을 이용하여 잔류 응력을 계산하였다. 또한 제작된 frddhang은 광학 현미경과 전자주사현미경에 의해 관찰되었다. 이렇게 제작된 freehang을 이용하여 필름이 기판에 부착되기 위해 필요한 변형률을 측정하고, 독립적으로 측정된 필름의 잔류 응력을 박막의 응력-변형률 관계식에 적용하여 biaxial elastic modulus, E/(1-v)를 구할 수 있었다. 측정 결과 필름의 잔류 응력과 biaxial elastic modulus는 필름의 두께가 감소함에 따라 감소하는 경향을 나타냈으며, 같은 두께의 필름인 경우, 식각 깊이에 따른 biaxial elastic modulus 의 변화를 통해 최적의 식각 깊이를 알 수 있었다. -
태양전지는 태양광에너지를 바로 전기에너지로 전환시키는 소자이다. 최근에는 다결정 태양전지의 응용가능성에 대한 연구가 활발히 진행되어 오고 있다. 이 중 CuInSe2는 여러 가지 좋은 물성을 가지고 있어서, 저가의 고효율 태양전지를 위한 광흡수층 재료로 주목받고 있다. 현재까지 다양한 방법이 시도되었지만, 10% 이상의 고효율을 가지는 고품질을 박막을 얻는 방법은 진공증발증착법과 selenization 방법뿐이다. 이 중 진공증발증착법에 의하여 형성된 박막을 이용하여 가장 높은 효율의 태양전지를 얻을 수 있으나, 진공 장비의 대면적화가 힘들기 때문에 대면적 태양전지 제조가 힘들다는 단점이 있다. 따라서 selenization 방법을 이용하여 CuInSe2 박막을 제조하는 것이 가장 유망한 방법이라 할 수 있다. Selenization 방법은 Cu-In 금속층을 제작한 뒤 이를 selenium과 반응을 시키는 방법이다. 따라서 이 방법을 이용하여 박막을 제조할 때는 Cu-In 금속층의 물성 조절이 이후 생성되는 CuInSe2 박막의 물성향상에 필수적이다. 따라서 Cu-In 금속층의 물성에 대해 많은 연구가 이루어지고 있다. 하지만 Cu-In 이 성분계에서 알려진 반가 없다. 저온에서는 반응속도론적으로 매우 느리게 반응이 일어나기 때문에 열역학적으로 안정한 상을 얻기가 힘들기 때문이다. 따라서 본 실험에 앞서 각 제조 조건에 따른 열역학적인 안정상을 계산하였다. 그 결과, 상온에서 Cu의 양이 증가함에 따라, In
$\longrightarrow$ CuIn2$\longrightarrow$ Cu11In9$\longrightarrow$ Cu7In3 상으로 변화하였다. 9$0^{\circ}C$ 이하의 온도에서는 CuIn2 상이 안정하였고, 10$0^{\circ}C$ 이상의 온도에서는 Cu11In9 상 두 가지로 존재하였고, Cu/In 인가전력비를 변화시켰을 때 조성비가 선형적으로 변하였다. 즉, Cu-In 동시스퍼터링법은 원하는 조성을 간편한 방법으로 정확하게 조절할 수 있는 방법이라 할 수 있다. 증착 온도를 변화시켰을 때는 9$0^{\circ}C$ 이하의 낮은 온도에서 존재하던 CuIn2 상이 10$0^{\circ}C$ 이상의 온도에서는 완전히 사라지고 In과 CuIn2 상이 사라지고 In 상과 Cu11In9 상이 나타났다. 상전이를 위하여 30$0^{\circ}C$ 의 높은 열처리 온도가 필요한 것은, 밀 저온 안정상이 형성된 뒤 각 원소들의 확산에 의해 상전이가 일어나기 때문에 이를 위한 충분한 열에너지를 가질 수 있는 온도가 필요하기 때문이다. 조성을 일정하게 유지하면서 챔버 압력을 변화시켰을 때는 형성되는 상의 미세구조난 결정성은 일정하였다. 인가전력, 증착온도, 챔버 압력 변화에 따른 상변화는 앞서 계산한 열역학적 결과와 정확히 일치하였다. 이는 동시스퍼터링 방법이 각 입자들을 원소 단위에서 균일하게 혼합할 수 잇는 방법이고, 또 입자들이 높은 에너지를 가지고 있기 때문이다. 즉, 원소 단위에서 균일한 반응을 하고, 가장 안정한 위치로 쉽게 이동할 수 있기 때문에 열역학적으로 안정한 상을 형성할 수 있는 것이다. -
타이타늄(CP Ti)과 타?늄합금은 인체의 골격을 구성하고 있는 물질과 가장 흡사한 물리적 성질과 우수한 생체적합성으로 인해 임플란트용 재료로 많이 사용되고 있으며, 최근에 인공관절이나 치근으로의 사용이 증가하고 있다. 그러나 교합면에서의 취약한 마모특성으로 인해 wear debris에 의한 골 흡수 및 이완 등을 야기하는 문제점으로, 이의 개선에 관한 연구가 활발히 진행되어 왔다. 다이아몬드상 카본 (Diamond-Like-Carbon) 박막은, 다이아몬드와 유사한 높은 경도, 내마모성 그리고 화학적 안정성 등의 매우 우수한 물리화학적 특성을 가지고 있는 박막재료로 고체 윤활박막으로서 hard disk나 VCR head drum의 보호막, 우주항공기의 bearing 재료코팅 등으로의 적용이 최근에 급격히 증가하고 있다. 본 연구에서는 이와 같은 특성을 지닌 다이아몬드상 카본 박막의 생체재료로의 적용을 위해, CP Ti과 Ti-6Al-4V에 13.56MHz를 사용하는 r.f PACVD법으로 DLC를 증착하여 생체적합적 특성을 조사하였다. C6H6 가스를 사용하여 1
$\mu\textrm{m}$ 의 두께로 DLC 박막을 증착하였으며, 기판과의 밀찰력 향상을 위해 Si을 증간층으로 합성하였다. 마모특성은 pin-on-disk type wear tester을 사용하였으며 직경 5mm의 ruby ball로 실험을 수행하였다. -
We witnessed the enhancement of mangetoresistance (MR) in La0.75Ca0.25MnO3 thin films grown on Si (100) substrates by RF magnetron sputtering. The films are polycrystalline with (100) and (110) orientations. The lattice constants of films are reduced as much as 0.9% compared to the one of the bulk sample, which proves that the compressive stress on films was imposed by Si sbustrate. It is found that the MR value (Δ
$\rho$ /$\rho$ 0) of films are 0.33, 0.29 and 0.27 under a magnetic field of 1.5T for each films with deposition temperature of$700^{\circ}C$ , 75$0^{\circ}C$ and 80$0^{\circ}C$ , respectively. The correlation between the MR values and lattice constants of films is discussed. It is concluded that the compressive stress on films cause the enhancement of MR values of thin films grown on Si (1000 substrates. Some mechanism of compressive stress induced by Si substrate is suggested. -
Cu는 Al에 비하여 낮은 저항(1.8
$\mu$ $\Omega$ -cm)과 높은 EM 저항성을 가지고 있어 미래의 고속 ULSI 배선물질로 그 중요성이 더욱 증가되고 있으며, 현재까지 많은 연구가 진행되고 있다. 따라서, 본 논문에서는 이러한 방법들을 고려하여 CVD Cu의 문제점인 낮은 성장률의 개선과 Cu 박막의 특성을 향상하고자 수소 플라즈마 공정을 이용하여 plasma 전처리가 초기 Cu 핵생성에 미치는 영향에 대하여 연구하였다. 본 실험에 사용된 장비는 Cu RPCVD/MOCVD이다. 초기 Cu 핵의 생성에 있어서의 수소 플라즈마의 효과를 조사하기 위하여 다음과 같은 3가지의 방법으로 행하였다. 첫 번째는 Cu 박막 형성에서 플라즈마를 사용하지 않은 방법, 두 번째는 플라즈마 전처리공정을 행한 뒤, Cu 박막 증착시 플라즈마는 사용하지 않은 방법, 세 번재는 플라즈마 전처리공저을 행한 뒤 Cu 증착시에도 플라즈마를 사용한 방법이다. 이 세가지 방법의 핵생성 차이를 분석하기 위해서 각각 10초, 20초, 40초 증착시킨 후 grain의 크기와 개수를 비교하였다. 또한 플라즈마의 power에 따른 Cu 핵생성율도 조사하였다. 수소 전처리동안 working pressure는 10분 동안 1 torr로 유지되었으며 substrate의 온도는 20$0^{\circ}C$ , r.f.power는 100watt로 설정하였다. Cu RPCVD의 증착조건은 r.f.power는 10watt, substrate의 온도는 20$0^{\circ}C$ , gas pressure는 1 torr, Ar carrier gas는 50sccm, hydrogen processing gas는 100sccm, bubbler 온도는 4$0^{\circ}C$ , gas line의 온돈느 6$0^{\circ}C$ , shower head의 온도는$65^{\circ}C$ 로 설정하였다. 증착된 Cu 박막은 SEM, XRD, AFM를 통해 제작된 박막의 특성을 비교.분석하였다. 초기 plasma 처리를 한 경우에는 그림 1에서와 같이 현저히 증가한 초기 구리 입자들이 관측되었으며, 이는 도상 표면에 활성화된 catalytic site의 증가에 기인한다고 보여진다. 이러한 특성은 Cu films의 성장률을 향상시키고, 또한 voids를 줄여 전기적 성질 및 surface morphology를 향상시키는 것으로 나타났다. -
알루미늄 산화막은 알루미늄 전해 커패시터의 유전재료로 많이 사용되고 잇다. 기존의 생산 공정은 양극 산화법에 의한 산화막 형성으로 대부분이 이러한 습식 공정으로 생산되고 있다. 이 양극 산화법 방식은 장점도 있으나 폐기물이 많이 발생되는 단점이 있다. 본 연구에서는 폐기물의 발생을 획기적으로 줄일 수 있고 산화막 형성 효율을 높일 수 잇는 방식으로 activated reactive evaporation(ARE)을 도입하였다. 이 방식은 electron-beam에 의해 알루미늄을 증착시킬 때 plasma를 챔버 내에 발생시켜 활성 반응으로 알루미늄 원자가 산소와 반응하여 기판위에 Al2O3가 증착되는 것이다. 이 방식은 기계적 작동이 단순하고 증착이 되는 여러 변수들의 독립적 조절이 가능하므로 증착을 제어하기 쉽기 때문에 바로 산업 현장에서 적용될 수 있을 것으로 전망되어 본 연구에 도입하게 되었다. 기판은 유전용량을 증가시키기 위하여 알루미늄 원박을 에칭하였다. 이것은 기판으로 쓰일 알루미늄의 표면의 표면적을 증가시키기 위한 것으로, 알루미늄 전극의 표면적을 확대시키면 유전용량이 증가된다. 99.4%의 50
$\mu\textrm{m}$ 와 60$\mu\textrm{m}$ 두께의 알루미늄 원박을 Ar 이온빔에 의해 1keV의 에너지로 20mA로 에칭을 하였다. 에칭 조건별로 에칭상태를 조사하였다. 에칭 후 표면 상태는 AFM으로 관찰하였다. 화성 실험은 진공 챔버 내의 진공을 약 10-7 torr까지 내린 후, 5$\times$ 10-5 torr까지 O2와 Ar을 주입시킨 다음 filament에서 열전자를 방출시키고 1.2 kV의 electrode에 의해 가속시켜 이들 기체들의 플라즈마를 발생시켰다. e-beam에서 증발된 알루미늄과 활성 반응을 이루어 기판에 Al2O3가 형성되었다. 여러 증착 변수들(O2와 Ar의 분압, 가속 전압, bias 전압 등)과 산화막의 상태 등을 XPS(X-ray photoelectron spectroscopy), AFM(Atomic Force Microscopy), XRD(X-Ray Diffraction), EXD로 조사하였다. -
The surface collective modes of thin K films deposited on Al(111) have been investigated using frequency dependent photoyield measurements and momentum resolved inelastic electron scattering. Jellium based theoretical calculations have predicted a richer set of features in the thin films than for the surface of a semi-infinite solid because there are th interference between two interfaces (substrate-film and film-vacuum) and heavy damping on the substrate. The use of an optical probe and electron scattering has allowed us to draw a more complete picture of the dynamic screening in thin films. The number, dispersion, damping and optical activity of the collective modes of the thin films have been measured as a function of K film thickness. New overlayer-induced excitations are observed : At qII=0, they correspond to the antisymmetric slab mode and the multipole surface plasmon. At finite qII=0, these modes undergo a transition towards the K multipole and monopole surface plasmons. With increasing coverage, the overlayer excitations turn into the collective modes of semi-infinite K. For a consistent interpretation of photoyield and electron energy loss spectra it is crucial to account for the non-analytic dispersion of the overlayer modes at small parallel wave vectors and for the finite angular resolution of the detector. The observed dispersions confirm predictions based on the time-dependent density functional approach.
-
Kinetic behaviors of homoepitaxial growth on Ni(110) surface was studied at the growth-temperature ranges 290~380 K with scanning tunneling microscopy. At low temperature (~290 K), deposited Ni grows layer-by-layer mode in the first several layers with one-dimensional islands but eventually (at > monolayers) forms three-dimensional islands througy the kinetic shortening of the average length of one-dimensional islands. At the intermediat temperature (~340 K), the three-dimensional islands were observed to be I) regular mesa-like structure with high aspect ratio (~1:10) at ~15 monolayer, ii) hut-like structure with low aspect ratio (~1:1.5) at ~35 monolayer, and iii) rounded mound structure at ~55 monolayers, due to the competition of kinetic and energetic terms. At the high temperature (~ 380 K), the flat surface with layer-by-layer mode was observed up to 50 monolayers. Microscopic orgins for the observations will be discussed on the basis of kinetic Monte Carlo simulations.
-
We have investigated the adsorption and reaction of Cu(hfac)(vtms) on Cu(111) surface using TPD. The recombinative desorption of Cu(hfac)(vtms) reversibly occurs between 240 and 340K. The remaining Cu(hfac) after the desorption of vtms preferentially undergo the desorption between 330 and 370K as intact Cu(hfac) than the disproportionation reaction. The disprportionation reaction between adsorbed Cu(hfac) was observed to occur between 420 and 520K with an activation energy of 34~37 kcal/mol. the geometries and adsorption sites of Cu(hfac) have been also calculated by means of extended H ckel method. It is found that standing Cu(hfac) is more stable than lying-down Cu(hfac) on the Cu(111) surface and the Cu(hfac) molecule prefers to adsorb on the hollow site over the top or bridge sites. We also have investigated the surface modification effect by preadsorbed I and Na atoms on the reaction Cu(hfac)(vtms).
-
The initial growth mode of Nb on Ag(11) in sub-monolayer regime and the influence of subsequent 520K annealing are studied using UHV Scanning Tunneling Microscopy. E-beam evaporated Nb is deposited onto the substrate at RT, and STM measurements are carried out at RT and 78 K. With Nb being immiscible in bulk Ag, 3D islands formation begins at early stage and no particular ordered structure is found. After annealing to 520K, most of islands are disappeared from terrace. There exist 2 possibilities. : (1) Diffusion of Nb into the 2nd or 3rd layer of Ag substrate or (2) agglomeration of Nb on Ag at higher temperature. A model will be given to explain the evidence. In addition, we investigated the change of STM image according to bias voltage depending on island size. Possible physical mechanism responsible for such behavior together with interaction between Nb islands and reactive gases will be also discussed.
-
In situ surface magneto-optic Kerr effect(SMOKE), X-ray photoelectron spectroscopy(XPS) and low energy electron diffraction(LEED) were used to study magnetic and structural properties of ultrathin Fe films grown on the Pd(111) surface. The SMOKE measurement showed strong enhancement of ferromagnetism after proper annealing process. Simultaneous changes in morphology was checked by LEED and XPS. After room temperature Fe deposition. longitudinal magnetization appeared above a critical thickness between 2.0 and 2.5 monolayers. When annealed at 450K, 2.0 monolayer Fe film exhibited boty longitudinal and polar magnetizations while 3.0 and 5.5 monolayer films showed little changes. After annealing at 600K, both magnetizations were totally destroyed in 2.0 monolayer film, but longitudinal magnetization was enhanced in 3.0 monolayer film. In the case of 5.5 monolayer film, it was only after 660K annealing that the enhancement of the longitudinal magnetization was observed. It was concluded that the surface flatness and the amount of intermixing were critical in the development of surface magnetism of this system.
-
We performed a total energy calculation of clean alumunum surfaces of three low indices based on a density functional theory with a local density approximation, using the Ceperly-Alder exhange correlation parametrized by Perdew and Zunger. Pseudopotentials were generated for Al of which the plane wave cut-off was 15Ry. We used Gaussian broadening of a Fermi level to accelerate the convergence of our calculation with the Gaussian energy smearing parameter of 0.005Ry. First, we determine the lattice constant of the aluminum of an face-centered-cubic structure to be 3.96 which is comparable to the experimental data of 4.05 . The cohesive energy of 4.20eV/atom and the bulk modulus of 0.775
$\times$ 1012dyne/cm2 are also comparable to the experimental values of 3.39eV/atom and 0.772$\times$ 1012dyne/cm2, respectively. Then we investigated the surface relaxation of (100), (110) and (111) surfaces using a 9-layer slab separated by 6-layer thick vacuum. The results are consistent with the existing experimental results. -
MEIS를 이용하여 Cu3Au(100)에서 단원자층 분해능을 얻기 위한 연구를 하였다. 우선 수소이온을 이용한 첫째층과 셋째 Au층의 분리 시도는 extremely glancing exit angle 등 극한의 산란조건에서도 성공하지 못하였다. 깊이 분해능을 정해주는 electronic 에너지 손실을 극대화기 위해 수소이온 대신 질소 이온을 사용하여 에너지 스펙트럼을 측정해 본 결과, 표면 Au 층과 표면 셋째 Au 층을 구분할 수 있었다, <110>으로 정렬된 조건에서는 셋째 층의 Au 원자들이 완전히 shadow cone 내부에 존재하여 관측되지 않지만 9.75
$^{\circ}$ tilt한 경우 셋째 층의 Au 원자들이 shadow cone 바깥으로 나오게 되어 첫째 층과 셋째 층이 확실히 분리되어 측정되었다. 이 연구에서 MEIS로 단원자층의 분해능을 얻는데 성공하였다. 이러한 단원자층 분해능으로 시료의 온도변화에 따른 표면 첫째 층의 Au 의 조성변화를 관찰하였고 이를 전산 모사 하였다. 이 결과 벌크 전이 온도인 39$0^{\circ}C$ 이하에서 표면 첫째 층 Au의 조성이 감소하는 것을 관찰하였고 이러한 감소는 45$0^{\circ}C$ 근처까지 계속되었으며, 다시 온도를 실온으로 낮추면 본래의 질서화된 상태로 되돌아감을 확인하였다. 그리고 이를 전산 모사 한 결과, 표면 첫째 층의 Au가 표면 둘째 층으로 이동해 감을 알 수 있었다. -
이전에 수행된 연구에서 표면에 수직하게 dangling bond를 가지는 adatom으로 장식된 6H-SiC(0001) {{{{ SQRT { 3} }}}}
$\times$ {{{{ SQRT { 3} }}}} 표면은 단일 전자 모형으로는 설명되어지지 않는 반도체적 성질을 가지는 것으로 보고되어졌다. 최근의 많은 이론적, 실험적 결과는 이 표면이 Mott-Hubbard 모형으로 설명되어질 수 있음이 보고되어졌다. 이 표면에서 Si이 좀 더 풍부한 3$\times$ 3 표면의 여러 모형들에 대해 이론적, 실험적 연구는 Energy적으로 E-J 모형이 가장 안정하다고 보고하였다. E-J모형은 표면에 수직인 dagling bond를 가지며 6H-SiC(0001) {{{{ SQRT { 3} }}}}$\times$ {{{{ SQRT { 3} }}}} 표면에 비해 1/3배의 밀도를 지닌다. 또한 최근의 연구에서 3$\times$ 3 표면 또한 단일 전자모형은 이 표면의 반도체적 성질에 위배되며 Mott-Hubbard 모형으로 설명되어질수 있음이 보고되어졌다. 이러한 표면 위에 알칼리금속인 Na을 흡착시키면서 일함수의 변화와 Valence Band의 변화를 측정하였다. XPS를 이용하여 Na이 흡착되면서 발생되는 Si과 C의 내각준위의 변화를 측정하였다. 6-SiC(0001) {{{{ SQRT { 3} }}}}$\times$ {{{{ SQRT { 3} }}}} 과 3$\times$ 3 표면 구조 모델을 Na을 흡착한 6H-SiC(0001) {{{{ SQRT { 3} }}}}$\times$ {{{{ SQRT { 3} }}}} 과 3$\times$ 3 표면으로부터 측정한 UPS, XPS data들로부터 지금까지 제기되어 있는 각 재배열 구조 모형들을 비교 검토하였다. -
M-CeO2 (M : noble metal) catalysts have been widely studied as three-way catalysts and methanol synthesis catalysts. Ceria is thought to play a number of roles in these catalysts. The Ce(IV)/Ce(III) redox pair may store/release gases under oxidizing/reducing conditions, extending the operational window. Additionally, metal-ceria interactions lead to several effects, including the dispersion of the active components and promoting the activation of molecules such as CO or NO. Pd is a promising component to current TWC formulations and behaves particularly well when compared with Pt and Rh-based catalysts for low-temperature oxidation of Co and hydrocarbon. However the effect of Pd-ceria interactions on the physicochemical properties of Pd and the redox properties of Ce is not elucidated yet. In order to know exactly about the metal-ceria interactions, the model study are expecting to give a better environment, resulting in the wide use of the surface science tools. The substrate was Si(100) wafer, on which Ta metal was sputtered as a thickness of 100nm. The CeO2 thin film of 30nm was deposited by using the magnetron sputtering. Spin coating and magnetron sputtering methods were used to make the Pd thin film layer. The prepared sample was investigated by in-situ XPS, AES, SEM and AFM analysis.
-
We have studied the etching of Si(100)-2xl by Cl and Br, using scanning tunneling microscopy to obtain morphological information that can be related to reaction and desorption pathways. Clean surfaces were exposed to molecular halogens at room temperature to produce well-defined chemisorption structures for coverages in the range 0.2-1.0 ML. Heating to 750-750 K induced etching by thermal desorpton. Analysis of the halogen concentration before and after heating indicated that the rates of desorption for SICl2 or SiBr2 were greatest for intermediate coverages and that etching was suppressed as saturation was reached. Hence, desorption is not simply proportional to the concentration of species that can form adsorbed precursors SiX2(a). Instead, it is directly coupled to the creation of monomer vacancies adjacent to the SiX2 (a) unit because this increases the lifetime of the excited state and increases the likelihood of its desorption. Increasing the surface concentration of halogens reduces the rate of vacancy formation. We show that these rates are also affected by a re-dimerization process in the high temperature Br-stabilized Si(100)-3xl reconstruction that increases the likelihood of siBr2(a) formation and enhances its desorption. I will also discuss recent result for F etching on Si(100)-2xl.
-
Chemisorption of oxygen molecules on the Si(111)-(7x7) surface has been studied extensively as a model for the initial-stage oxidation of the surface. The basic step to the surface oxidation is the dissociation of the adsorbed O2 molecules, but the dissociation procedure and the atomic structure of the reaction products still remains as a subject of debates. We present here density-functional theory calculations on the initial-stage oxidation states of the Si adatom site for all possible dissociation configurations that can be generated by multiple O2 reactions. We determine the equilibrium structures and analyze their electronic and vibrational properties in comparison with measured UPS, XPS, and EELS spectra. The O(ad) atom bonded on top of the Si adatom is always less stable than the O(ins) atom inserted into one of the adatom backbonds. Our electronic and vibrational analysis demonstrates further that the O(ad) and O(ins) atoms account well for the metastable and stable features in previous experiments, respectively. Moreover, the calculated decay pathways of the metastable structures and the comparison of the calculated O ls core-level shifts with XPS data provides a convincing argument in unambiguously identifying the experimental metastable and stable structures, thereby making it possible to build a correct atomic-scale picture of the initial-stage oxidation process on this surface.
-
지금까지 반도체 표면에 대한 연구는 주로 (1000, (111) 표면 등 낮은 밀러 지표를 가진 표면에 대해 이루어져 왔다. 이에 반해 밀러 지표가 높은 Si 면은 불안정하고, 가열하면 다른 표면, 즉 지표가 낮은 면으로 재배열하는 경향이 있는 것으로 알려져 있는데 아직 이들 높은 밀러 지표를 가진 표면에 대한 연구는 미미한 상태이다. 그러나, Si(113)면은 밀러 지표가 높으면서도 안정하기 때문에 Si(113)의 구조를 정확하게 알 수 있다면 밀러 지표가 낮은 Si 표면이 안정한 이유를 이해할 수 있을 것이다. 따라서 본 연구에서는 TOF-CAICISS 장치(Time of Flight - CoAxial Impact Collision Ion Scattering Spectroscopy) 장비와 RHEED(Reflection High Energy Electron Diffrction)를 이용하여 Si(113) 표면의 구조와 Si(113) 표면의 온도에 따른 구조 변화를 관찰하였다. TOF-CAICISS 실험결과를 보면 (3
$\times$ 2)에서 (3$\times$ 1)으로 상변환하면서 Si(113) 표면에 오각형을 이루는 dimer 원자들과 adatom 원자들간의 높이차가 작아짐을 알 수 있다. RHEED 실험결과와 전산 모사 결과로부터 상온에서 Si(113)(3$\times$ 2) 구조를 가지다가 45$0^{\circ}C$ ~50$0^{\circ}C$ 에서 Si(113) (3$\times$ 1) 구조로 상변환한다는 것을 알 수 있다. 그러나, 아직 상전이 메카니즘은 명확하게 밝혀지지 않았다. 실험결과를 전산 모사와 비교함으로써 Si(113) 표면에 [33]방향으로 이온빔을 입사시켰을 경우 dabrowski 모델과 Ranke AI 모델이 적합하지 않다는 것을 알 수 있다./TEX>, shower head의 온도는$65^{\circ}C$ 로 설정하였다. 증착된 Cu 박막은 SEM, XRD, AFM를 통해 제작된 박막의 특성을 비교.분석하였다. 초기 plasma 처리를 한 경우에는 그림 1에서와 같이 현저히 증가한 초기 구리 입자들이 관측되었으며, 이는 도상 표면에 활성화된 catalytic site의 증가에 기인한다고 보여진다. 이러한 특성은 Cu films의 성장률을 향상시키고, 또한 voids를 줄여 전기적 성질 및 surface morphology를 향상시키는 것으로 나타났다. 결과 필름의 잔류 응력과 biaxial elastic modulus는 필름의 두께가 감소함에 따라 감소하는 경향을 나타냈으며, 같은 두께의 필름인 경우, 식각 깊이에 따른 biaxial elastic modulus 의 변화를 통해 최적의 식각 깊이를 알 수 있었다.도의 값을 나타내었으며 X-선 회절 data로부터 분석한 박막의 변형은 증온도에 따라 7.2%에서 0.04%로 감소하였고 이 이경향은 유전손실은 감소경향과 일치하였다.는 현저하게 향상되었다. 그 원인은 SB power의 인가에 의해 활성화된 precursor 분자들이 큰 에너지를 가지고 기판에 유입되어 치밀한 박막이 형성되었기 때문으로 사료된다.을수 있었다.보았다.다.다양한 기능을 가진 신소재 제조에 있다. 또한 경제적인 측면에서도 고부가 가치의 제품 개발에 따른 새로운 수요 창출과 수익률 향상, 기존의 기능성 안료를 나노(nano)화하여 나노 입자를 제조, 기존의 기능성 안료에 대한 비용 절감 효과등을 유도 할 수 있다. 역시 기술적인 측면에서도 특수소재 개발에 있어 최적의 나노 입자 제어기술 개발 및 나노입자를 기능성 소재로 사용하여 새로운 제품의 제조와 고압 기상 분사기술의 최적화에 의한 기능성 나노 입자 제조 기술을 확립하고 2차 오염 발생원인 유기계 항균제를 무기계 항균제로 대체할 수 있다. -
Alkali and alkali-earth metal on si(001) surface has been investigated widly for both scientific and technological aspects. In particular, the Ba/Si(001) system has been studied by several groups and they reported many phases such as (2
$\times$ 3), (2$\times$ 4) and c(6$\times$ 2) 표 LEED and AES for various temperature and coverages. But there has not been the result of the atomic structure for these phases. Recently some works about the atomic structure of Ba/Si(001) at only room temperature were presented. In this study, we investigated 3-dimensional atomic structure and growth mode of Ba layer on si(001) by coaxial impact collision ion scattering spectroscopy (CAISS) at room temperature and high temperature. -
The adsorption geometry and the electronic property of Mg grown at room temperature on the Si(111)-7
$\times$ 7 surface with various coverages have been studied by scanning tunneling microscopy (STM) and low energy electron diffraction (LEED). At low Mg coverage, the Mg atoms preferentially adsorb at the center adatom sites of the faulted half of the Si(111)-7$\times$ 7 surface. The adsorbed Mg atom acts as nucleophile with respect to Si atoms thus forms a stable ionic bond with the substrate Si atoms. Above 1 Ml, the 7$\times$ 7 surface starts to be disrupted and an amorphous Mg overlayer is formed. The LEED shows either$\delta$ 7$\times$ 7 or 1$\times$ 1 pattern at this coverage. When more Mg atoms were exposed, a flat and broad {{{{ { 2} over {3 } }}}}{{{{ SQRT { 3} }}}}$\times$ {{{{ { 2} over {3 } }}}}{{{{ SQRT { 3} }}}}R30$^{\circ}$ region evolves. A flat silicide is formed at first and multi-level Mg islands having hexagonal step edges develop with increasing coverage. The scanning tunneling spectroscopy (STS) confirms the electronic properties of these Mg films on the si(111) 7$\times$ 7 surface at various coverages. -
We have studied the atomic structure of Si(100)-c(4
$\times$ 4) reconstruction using scanning tunneling microscopy(STM). The c(4$\times$ 4) reconstruction can be formed by annealing the hydrogen exposured surface at temperatures between 850 and 960 K. At this temperature ranges, adsorbed hydrogen atoms are all desorbed. Therefore, the c(4$\times$ 4) reconstruction is due to the Si dimers on surface. The filled and empty state images of the STM were interpreted in terms of Si dimers in c(4$\times$ 4) primitive cell forming the reconstruction. Based on the STM images and hydrogen adsorption experiment on c(4$\times$ 40 surface, we suggest that Si dimers in c(4$\times$ 40 unit cell are perpendicular ad-dimer to the underlying Si dimer rows. -
차세대 평판 디스플레이로 주목박고 있는 FED는 현재 저전압 환경에서 기존의 CRT와 비슷한 해상도와 밝기를 얻지 못하고 있다. 이는 형광체 입자의 표면에 존재하는 결함, 오염, band - bonding과 같은 비발광층과 제조공정 중에 산화되거나 공기중에 노출되어 막 표면이 쉽게 오염되기 때문이다. 따라서 본 연구에서는 전기영동법으로 제작된 형광체의 효율 향상을 위해 레이저 표면 처리효과를 연구하였다. 실험에 사용한 레이저 표면처리 방법은 Nd:YAG pulse(355nm), continuous laser를 이용하였으며 레이저 power와 처리 시간을 변화시키면서 실시하였다. 형광체 막의 표면 두께 측정을 위해 Scanning Electron Microscopy(SEM), 처리된 막의 발광세기를 비교 분석하기 위해 Photoluminescence(PL), Cathodoluminescence(CL) intensity를 측정하였다.
-
Si 산화는 반도체 공정상 필요한 과정으로 산업적으로나 학문적으로 중요하고 많이 연구되었다. 이중에서 Si(1110-7x7표면에서 초기 흡착된 산소는 준안정적 상태로 존재하며 표면온도, 산소의 노출량 그리고 진공도에 따라 그 수명이 제한된다. 이러한 준안정적 상태의 산소의 화학적 성질은 여러 표면분석장비가 동원되어 연구되었으나 아직까지 논쟁이 되고 있다. 이 경우 산소가 어떤 상태로 존재하는가는 표면화학종을 검출함으로서 해결될 수 있다. 저에너지 Cs+ 이온 반응성 산란은 이러한 요구를 충족시킬수 있는 가장 적합한 실험 방법중의 하나이다. 저에너지 Cs+ 이온 산란의 특징 중의 하나는 입사된 Cs+ 이온이 표면에 흡착된 화학종과 충돌후 탈착되면서 반응을 하여 송이 이온을 형성한다는 것이다. 이 송이 이온을 관측함으로서 표면에 존재하는 화학종을 알아 낼 수 있다. 이에 산소가 흡착된 Si(111)-7x7 표면에서의 산소의 준안정적 상태가 저에너지 Cs+ 이온 산란 실험을 통하여 연구되었다. 실험은 0.2-2L(1Langmuir = 10-6 Torr x 1 sec) 산소 노출량과 -15
$0^{\circ}C$ -$25^{\circ}C$ 의 표면온도 그리고 5eV - 20eV의 Cs+ 이온 충돌에너지에서 CsSiO+ 이온이 유일한 생산물로서 검출되었다. CsSiO+ 이온은 입사된 Cs+ 이온과 표면에 존재하는 SiO 분자가 충돌 후 반응하여 탈착된 것으로 생각된다. 이것은 낮은 산소 노출량 즉, 초기 산화 단계에서 SiO가 표면에 존재한다는 것을 의미한다. 즉, 산소 분자는 산화단계의 초기에 해리되어 표면에 흡착되고 선구물질인 SiO를 형성함을 제시한다. 최근의 이론적 계산인 density functional calculation에서도 산소분자가 Si(111)-7$\times$ 7 표면의 준안정적 산화상태의 선구물질일 가능성을 배제한다. 이는 본 저에너지 Cs+ 이온 반응성 산란실험을 뒷받침하는 계산 결과이다. 높은 Cs+ 이온 충돌에너지에서 CsSi+, Si+, SiO+, Si2+, Si2O+ 등이 추가로 검출되었다. 이는 CsSi 이온을 제외하고 수 keV의 충돌에너지를 사용하는 이차 이온 질량 분석법과 비슷한 결과이다. -
FED(Field emission display)의 FEAs(Field emitter arrays)에 형성되어 있는 micro-tip 은 tip 표면의 오염이나 진공내부의 잔류가스에 대단히 민감하다. 특히, emitter 물질의 일함수 및 겉모양 같은 기하학적 요소에 민감한 전계방출 소자의 특성상 tip 선단이 oxidation 될 경우 일함수가 증가하여 전자방출에 필요한 구동전압이 증가하고 전자 방출의 불균일성이 커지는 문제점이 발생한다. 이에 고진공의 동작 환경 및 FEAs 제작과정이나 공기의 노출에 발생하는 tip 표면의 오염물질 제거가 요구된다. 따라서 본 연구에서는 40
$\times$ 40mm2 FEAs에 laser power, scan speed을 달리하며 laser(cw Nd-YAG, 1064nm)을 조사하였다. laser cleaning 효과를 보기 위해 laser irradiation 전, 후에 진공도 5$\times$ 10-7torr irradiation 후에 emitter tip의 뚜렷한 기하학적 모양의 변화를 볼 수는 없었지만, I-V 특성이 향상 되는 것을 볼 수 있었다. -
Adsorption of alkali metals on a silicon surface has attracted much attention due to its importance in metal-semiconductor interface technology, In particular, the bonding nature of alkali metal to silicon substrate has been a focus of fundamental research efforts. We examined the adsorbed layer of Cs on a Si(111)-(7
$\times$ ) surface by reactive ion scattering (RIS) of hyperthermal Cs+ beams. RIS from a Cs-adsorbed surface gives rise to Cs, representing pickup of surface Cs by Cs projectile. The Cs intensity is proportional to surface coverage of Cs at a high substrate temperature (473 K), while it varies anomalously with Cs coverage at low temperatures (130-170 K). This observation indicates that RIS selectively detects metallic Cs on surface, but discriminates ionic Cs. Transition from ionic to metallic Cs adlayer is driven by thermal diffusion of Cs and their clustering process. -
Recently, the surface electronic states have attracted much attention since their standing wave patterns created around steps, defects, and adsorbates on noble metal surfaces such as Au(111), Ag(110), and Cu(111) were observed by scanning tunneling microscopy (STM). As a typical example, a striking circular pattern of "Quantum corral" observed by Crommie, Lutz, and Eigler, covers a number of text books of quantum mechanics, demonstrating a wavy nature of electrons. After the discoveries, similar standing waves patterns have been observed on other metal and demiconductor surfaces and even on a side polane of nano-tubes. With an expectation that the surface states could be utilized as one of ideal cases for studying two dimensionakl (sD) electronic system, various properties, such as mean free path / life time of the electronic states, have been characterized based on an analysis of standing wave patterns, . for the 2D electron system, electron density is one of the most importnat parameters which determines the properties on it. One advantage of conventional 2D electron system, such as the ones realized at AlGaAs/GaAs and SiO2/Si interfaces, is their controllability of the electrondensity. It can be changed and controlled by a factor of orders through an application of voltage on the gate electrode. On the other hand, changing the leectron density of the surface-state 2D electron system is not simple. On ewqy to change the electron density of the surface-state 2D electron system is not simple. One way to change the electron density is to deposit other elements on the system. it has been known that Pd(111) surface has unoccupied surface states whose energy level is just above Fermi level. Recently, we found that by depositing Pd on Cu(111) surface, occupied surface states of Cu(111) is lifted up, crossing at Fermi level around 2ML, and approaches to the intrinsic Pd surface states with a increase in thickness. Electron density occupied in the states is thus gradually reduced by Pd deposition. Park et al. also observed a change in Fermi wave number of the surface states of Cu(111) by deposition of Xe layer on it, which suggests another possible way of changing electron density. In this talk, after a brief review of recent progress in a study of standing weaves by STM, I will discuss about how the electron density can be changed and controlled and feasibility of using the surface states for a study of 2D electron system. One of the most important advantage of the surface-state 2D electron system is that one can directly and easily access to the system with a high spatial resolution by STM/AFM.y STM/AFM.
-
The adsorption processes of methyl chloride on Si(100)-2
$\times$ 1 have been studied by low energy electron diffraction (LEED), Auger electron spectroscopy (AES) and semiempirical PM3 calculations. The dissociative adsorption of the methyl chloride on Si(100) takes place without breaking of the silicon dimer with high efficiency. For adsorption at the room temperature, the existence of a precursor state is confirmed by the behavior of the sticking probability depending on the coverage and temperature. From AES measurements, the determined activation barrier of adsorption ($\Delta$ Hads) is -28.4 kj/mol. This results indicate that the dissociative process is nonactivated. The optimized precursor state of CH3Cl on the Si(100)-2$\times$ 1 surface was determined by PM3 calculations based on a cluster model. -
CsCl 구조의 합금의 상전이 현상 및 표면 특성을 Ising 모형 해밀토니안을 이용한 Monte Carlo 모의 실험 방법을 통하여 연구하였다. FeCo 합금 표면에 형성된 장이 없을 때는 합금이 상전이 온도에 접근함에 따라 표면의 원자 배열을 bulk에서 보다 더 빨리 무질서하게 하는 결과를 주었고 상전이 온도를 지나서는 표면과 bulk에서 모두 완전히 물질서 해지는 Surface-Induced Disorder 현상이 관측되었다. 표면에 장이 형성되고 그 세기가 점점 더 커짐에 따라 Surface-Induced Disorder와 surface-Induced Ofer가 서로 뒤섞여 있는 양상을 보였으며 장이 h=-0.0414eV/Atom 보다 더 강한 경우에는 상전이 온도 이후에도 표면의 질서가 잘 유지되는 Surface-Inducced Order 현상이 관측되었다. 이 결과를 최근에 발표된 FeCo 합금 표면에서의 Surface-Induced Order 현상과 비교하였다.
-
Initial growth mode of Nb on Cu(100) is studied by scanning tunneling microscopy (STM) and density functional theory. Nb/Cu is immiscible at room temperature, but isolated Nb atoms are expected to be incorporated up to the second layer by DFT. STM shows that Nb atoms mix with Cu atoms in the first layer at room temperature and diffuse into the second layer upon annealing. In the second layer, Nb/induced features are preferentially found at step edges and appear as bright dots surrounded by dark rings. Details of comparison between experiment and theory will be discussed.
-
Ferromagnetic 3d 전이금속과 paramagnetic 5d 금속으로 이루어지진 Pt-Co 계는 자기이방적 (magnetic anisotropy) 성질로 인하여 많은 관심을 모으고 있는 계로서는 다층박막 및 합금박막에 대한 지기적 성질에 대한 많은 연구가 있어 왔다. 최근 sputtering method 에 의해 제작된 Pt-Co 합금박막에 대해 Ar 기체분압에 따라 보자력 (coercivity)이 변화되고 PMA (perpendicular magnetic anisotropy)를 갖는 것을 관측하였다. PMA의 근원은 주로 계면에서의 anisotropy 에너지와 관련이 있는 것으로 이해되기 때문에 합금박막의 경우는 PMA가 불가능한 것으로 여겨져 왔다. 그럼으로서 PMA에 대한 근원에 대한 명확한 해석이 필요하게 되었다. 또한 보자력(coercivity)은 불순물의 함량이 감소할수록, 그리고 내부적 변형이 제거될수록 감소하기 때문에, 계면 및 결정구조와 관련이 있는 것으로 알려져 있다. 이러한 자기적 특성을 관찰하고자 [Pt(51 )/Co(112 )]4, [Pt(90 )/Co(66 )]4, 그리고 [Pt(121 )/Co(30 )]4, 다층박막과 이들 박막을 80kV Ar+ 이온선 혼합후 박막의 결정성 변화를 관찰하기 위하여 GXRD (glancing x-ray diffraction) 스펙트럼을 얻어보았다. 그 결과 세 system 모두 disordered fcc 합금박막임을 확인하였다. fcc(111) 방향에 대한 평균 격자공간(lattice spacing)의 크기변화는 한층 당의 Co 두께가 두꺼울수록 거의 선형적으로 감소함을 볼수 있었다. MOKE 실험에 의하면, 이들 다층박막이나 합금박막의 경우 모두, in-plane 방향에 대해 자화 용이축(easy magnetization axis)을 가지고 있었다. 그리고 보자력의 크기에 있어서, 다층 박막의 경우에 있어서 Co 층에 두께 두꺼울수록 보자력의 크기가 감소하였지만 그림1에서와 같이 합금박막의 경우는 정반대로 Co층의 두께가 얇을수록 보자력의 크기가 감소함을 관찰하였다.
-
Kim, S.H.;Chang, G.S.;Son, J.H.;Kim, T.Y.;Chae, K.H.;Kang, S.J.;Lee, J.;Jeong, K.;Lee, Y.P.;Whang, C.N. 162
We have studied magnetic properties of Co/Pt multilayered films which have attracted great interest as high-density magneto-optical (MO) recording media due to their good MO properties. For this study, [Pt(45 )/Co(35 )]$\times$ 8 films were deposited with a Pt buffer layer of 60 on Si(100) substrate by alternating electron-beam evaporation in a high vacuum and were ion beam mixed by using 80keV Ar+ at 25$0^{\circ}C$ . Especially, an external magnetic field was added to help changing magnetic property during ion beam mixing (IBM). The intermixing of Co and Pt layers after IBM was confirmed with Rutherford Backscattering Spectroscopy (RBS) and Transmission Electron Microscopy (TEM). The MO property of the film was measured with magneto-optical Kerr spectrometer and the change of magnetic easy axis in the film plane was observed from Ker loop data. This anomalous result might be correlated with the change of atomic structure due to the intermixing effect. -
Kim, T.Y.;Chang, G.S.;Son, J.H.;Kim, S.H.;Shin, S.W.;Chae, K.H.;Sung, M.C.;Lee, J.;Jeong, K.;Lee, Y.P.;;Whang, C.N 163
In this research, we used the ion irradiation technique which has an advantae in improving intentionally the properties of surface and interface in a non-equilibrium, instead of the conventional annealing method which has been known to improve the material properties in the equilibrium stat. Cu/Co multilayered films were prepared on SiN4/SiO2/Si substrates by the electron-beam evaporation for the Co layers and the thermal evaporation for the Cu layers in a high vacuum. The ion irradiation with a 80keV Ar+ was carried out at various ion doses in a high vacuum. Hysteresis loops of the films were investigated by magneto-optical polar Kerr spectroscopy at various experimental conditions. The change of atomic structure of the films before and after the ion irradiation was studied by glancing angle x-ray diffraction, and the intermixing between Co and Cu sublayers was confirmed by Rutherford backscattering spectroscopy. The surface roughness and magneto-resistance were measured by atomic force microscopy and with a four-point probe system, respectively. During the magneto-resistance measurement, we changed temperature and the direction of magnetization. From the results of experiments, we found that the change at the interfaces of the Cu/Co multilayered film induced by ion irradiation cause the change of magnetic properties. According to the change in hysteresis loop, the surface inplane component of magnetic easy axis was isotropic before the ion irradiation, but became anisotropic upon irradiation. It was confirmed that this change influences the axial behavior of magneto-resistance. Especially, the magneto-resistance varied in accordance with an external magnetic field and the direction of current, which means that magneto-resistance also shows the uniaxial behavior. -
Since Au-Pt alloys have various atomic structures depending upon composition and annealing temperature, it is very interesting to investigate the electronic structures of alloys. We studied the changes of the electronic structure I the Au-Pt alloys by x-ray absorption near edge spectroscopy (XANES). Two kinds of Au-Pt alloy samples were prepared by arc melting methods and ion-beam-mixing technique. The Pt L2, 3-edge and Au L2, 3-edge X-ray absorption spectra (XPS) were measured with the electron yield mode detector at the 3C1 beam line of the Pohang Light Source (PLS). It was found that there was a substantial decrease in the area of the Pt L2, 3 white lines compared with that of pure Pt. The observed decrease in white line area was attributed to an increase in the number of pure Pt. The observed decrease in white line area was attributed to an increase in the number of 5d-electrons at the Pt site upon alloy formation. However, the Au L2, 3 edge spectra for Au-Pt alloys are all similar to that of pure Au. This implies that the 5d hole count of Au is not changed by alloy formation with Pt.
-
Glass slides were chemically etched with sulfuric acid using five different methods. we investigated the effects of the chemical etching conditions on such properties as chemical composition, surface roughness, and the thermal stability of the glass. Sodium and carbon atoms in the surface of the glass are effectively eliminated by chemical etching with sulfuric acid. The glass slides were boiled for 30 min in 95% sulfuric acid and were depth profiled at room temperature with X-ray photoelectron spectroscopy (XPS), the Na ls signal was not detected in the detection limit of XPS. Surface morphology of the glass was very different depending on the concentration of sulfuric acid. The surface of the glass etched with 50% sulfuric acid was rougher than that of glass etched with 95% sulfuric acid. The sodium concentration of the glass boiled for 30 min in 95% sulfuric acid was nearly zero at the glass surface, and the sodium composition changed very little with annealing temperatures up to 35
$0^{\circ}C$ in a vacuum environment. However the sulfur concentration at the glass surface due to the sulfuric acid increased with increasing temperature. -
We studied electronic structure of magnetic semiconductors EuO, EuS, and EuTe. The photoemission spectra show localized Eu 4f states and broad anion p bands. As the size of anion increases from oxygen to tellurium, anion p band width increases and eventually overlaps Eu 4f states. Hence in EuO and EuS, Eu 4f states are the highest occupied stated lying above anion p band, while Te 5p band spreads widely over Eu 4f states to become valence band maximum in EuTe. It was also observed that Eu 4f states have width of 0.7eV and dispersion of 0.2eV in EuS by angle resolved photoemission spectroscopy. The width of the 4f spectra mainly originates from atomic multiplets, but the much larger dispersion than that of Eu metal is due to p-f mixing.
-
전지 재료의 충방전 과정 연구에는 X-선 분말회절(x-ray powder diffraction techniques)과 중성자회절을 많이 사용하였다. 하지만 이러한 분석기술은 long-range order의 구조에 관한 정보를 제공하는데 유용하지만 atomic scale의 구조에 관한 정보를 얻기에는 한계가 있다. Li 전지에서의 전기화학적 반응에서는 cathode 물질에 포함된 전이금속의 산화, 환원 반응에 의한 Li 이온의 intercalation (charge process)과 deintercalation (discharge process) 현상이 일어난다. 이러한 충방전 과정은 알려지지 않은 다양한 형태의 위상 변화를 동반하게 되는데 x-선 이나 중성자를 이용한 powder diffraction techniques 로는 단지 정성적인 결정학적 정보를 얻을 수 있다. 따라서 최근에 원자 단위의 local structure에 관한 정보와 electrochemical state에 관한 정보를 동시에 얻을 수 있는 X-ray Absorption Fine Structure (XAFS) 분석기술을 Li 전지분석에 활용하기 시작하였다. XAFS는 하나의 x-ray 흡수원자에 대해서 주변원자들의 원자구조에 관한 정보와 구성 원소의 electrochemical state에 관한 정보를 얻을 수 있는 분석방법이다. X-ray Induced Electron Emission Spectroscopy (XIEES)는 x-ray에 의해서 방출된 전자를 검출하여 스펙트럼을 얻는 기능을 함축적으로 나타낸 것으로, x-ray를 물질 표면에 조사하여 발생하는 광전자, Auger 전자, 이차전자 등을 전자검출기(Channel Electron Multiplier: CEM)로 검출하는 기능과, 시료를 투과한 x-ray와 시료에서 발생하는 형광 x-ray를 비례계수기로 검출하는 기능을 가지고 있다. 이러한 검출 능력을 바탕으로 EXAFS, XANES, Standing Wave Technique, Elemental Composition Analysis, DXRD, Total Reflection Technique 등을 이용하여 물질을 구성하고 있는 원소의 성분, 미세원자구조, 전자구조에 관한 정보를 얻을 수 있는 새로운 spectrometer이다. 본 연구에서는 자체 개발한 XIEES의 XAFS 기능을 이용하여 여러 가지 방법으로 제조한 LiMn2-xO4와 LiMnO2, MnO2에서 Mn K-absorption edge에 대한 chemical state 변화를 측정하였다. Absorption edge에서 chemical shift를 측정하기 위해서는 방사광 가속기 수준의 에너지 분해능(~0.3eV)이 필요하다. 이번 연구에서는 SiO2(3140) monochromator를 사용하고 여기에 맞는 적절한 parameter를 적용하여 x-ray 에너지 분해능을 포항방사광가속기 수준으로 개선하였다. XIEES에서 얻은 스펙트럼과 포항방사광가속기에서 얻은 스펙트럼을 비교하였다. Chemical shift가 일어나는 경향은 두 실험 결과가 잘 일치하였다.
-
Yamada 등의 덩어리 증착에 관한 연구 이후 낮은 기판 온도에서 결정성이 뛰어난 금속박막성장(thin film growth)을 얻을 수 있는 방법으로 최근 덩어리 증착(cluster depositon) 방법에 관하여 많은 연구들이 진행되어 덩어리 충돌이 원자 충돌인 경우와 큰 차이를 보이는 결과를 얻었으며, 덩어리 증착시 기판 내부에 점결함(point defect)이 발생되지 않는다는 중요한 결과를 얻었다. 금속 덩어리를 사용한 금속박막성장은 높은 박막성장속도와 뛰어난 구조 재배열 효과를 얻을 수 있으며 기판의 격자 손상을 감소시키기 때문에 향후 나노미터 소자 개발에 응용성이 클 것으로 예상된다. 그러나 금속 덩어리와 금속 표면사이의 상호작용에서 발생되는 기본적인 역학(mechanism)은 분명하게 알려져 있지 않다. 지금까지 알루미늄 덩어리의 원자구조와 특성에 관한 연구는 수행되어졌지만 (4,5), 알루미늄 덩어리 증착에 관한 연구는 수행되지 않았다. 본 연구에서는 13~177개로 이루어진 큰 알루미늄 덩어리들의 증착에 관하여 Md(molecular dynamics) 방법을 사용하여 연구하였다. MD 시뮬레이션을 사용하여 덩어리 증착시 기판 표면과의 충돌 초기에 나타나는 덩어리 내부 원자들의 상관충돌효과(correlated collisions effect)에 의하여 덩어리 크기에 따른 증착현상과 여러 물리적 현상들을 관찰하였다. 덩어리 총 에너지가 증가할수록 기판의 최고 온도는 증가하며, 덩어리 크기가 클수록 상관충돌효과가 커지기 때문에 덩어리의 총 에너지에 다른 최고 증가 비율은 적어졌다. 시간에 따른 비정렬 원자수(disordered atom number) 비교를 통하여 덩어리가 클수록 구조 재배열이 더 잘 이루어진다는 것을 알 수 있었고, 원자당 에너지가 클수록 덩어리 원자들이 기판 내부로 더 깊이 들어갔고, 덩어리 크기가 클수록 상관충돌효과로 인하여 덩어리 원자들이 기판 내부로 더 깊이 들어가는 것을 알 수 있었고, 덩어리 크기가 클수록 상관충돌효과는 커지고 더욱 부드러운 증착이 이루어졌으며, 무엇보다도 덩어리 증착시 표면에서 구조 재배열이 잘 이루어지는 특징을 살펴볼 수 있었다. 이러한 알루미늄 덩어리를 생성하여 증착할 수 있을 경우, 뛰어난 재배열 효과를 이용하여 품질이 향상된 반도체 소자를 제조할 수 있을 것으로 사료된다.
-
최근 반도체 소자의 초고집적화 현상에 따라 기존의 Al-base 합금에 대한 한계에 달하면서 그에 대한 대체 물질로 Cu가 관심을 모으게 되었고 그럼으로써 Cu metallization을 위한 많은 연구가 진행되어 왔다. Cu는 Al-base 합금계보다 비저항이 낮고, 녹는점이 높으며, 또한 electromigration 특성이 뛰어난 것으로 알려져 있다. 공학적인 면에서 이미 이들 계에 대한 adhesion 및 전기적 특성에 대한 많은 연구가 있어왔지만, 이들 특성 변화에 대한 물리적 의미를 제공할 만한 기초 자료들이 부족한 상태이다. 본 연구에서는 부도체인 polyimide 박막과 diffusion barrier인 TiN 박막위에서의 Cu 박막성장에 따르는 interface chemical reaction의 변화를 XPS를 이용하여 관찰함으로서 이들 계에 있어서의 adhesion과의 관계를 조사하였다. 그리고 XPS를 이용한 modified surface accumulation method를 적용시켜 TiN diffusion barrier를 통한 Cu의 grain boundary diffusion 상수들을 측정하였다. Cu/TiN system의 경우에는 interface chemical reaction이 일어나지 않았지만 Cu/polymide system에 있어서는 boundary diffusivity는 특히 40
$0^{\circ}C$ 에서$650^{\circ}C$ 영역에서, Db=60$\times$ 10-11exp[-0.29/(kBT)]cm2/sec 이었다. -
Synthetic polymers such as polyimide, polycarbonate, and poly(methyl methacrylate) are long chain molecules which consist of carbon, hydrogen, and heteroatom linked together chemically. Recently, polymer surface can be modified by using a high energy ion beam process. High energy ions are introduced into polymer structure with high velocity and provide a high degree of chemical bonding between molecular chains. In high energy beam process the modified polymers have the highly crosslinked three-dimensionally connected rigid network structure and they showed significant improvements in electrical conductivity, in hardness and in resistance to wear and chemicals. Polyimide films (Kapton, types HN) with thickness of 50~100
${\mu}{\textrm}{m}$ were used for investigations. They were treated with two different surface modification techniques: Plasma Source Ion Implantation (PSII) and conventional Ion Implantation. Polyimide films were implanted with different ion species such as Ar+, N+, C+, He+, and O+ with dose from 1 x 1015 to 1 x 1017 ions/cm2. Ion energy was varied from 10keV to 60keV for PSII experiment. Polyimide samples were also implanted with 1 MeV hydrogen, oxygen, nitrogen ions with a dose of 1x1015ions/cm2. This work provides the possibility for inducing conductivity in polyimide films by ion beam bombardment in the keloelectronvolt to megaelectronvolt energy range. The electrical properties of implanted polyimide were determined by four-point probe measurement. Depending on ion energy, doses, and ion type, the surface resistivity of the film is reduced by several orders of magnitude. Ion bombarded layers were characterized by Time-of-Flight Secondary Ion Mass Spectrometry (TOF-SIMS), XPS, and SEM. -
차세대 반도체 제조에서 Design rule 이 점점 더 shrink 됨에 따라 shallow junction 분석의 중요성이 강조되고 있다. 이러한 shallow junction에 대한 분석방법중의 하나인 SIMS 분석에 있어서 depth resolution을 향상시키는 것이 중요하며, 일차이온의 에너지를 낮추어 줌으로써 이러한 효과를 달성할 수 있다. 그러나 최근의 연구에 따르면 O2+를 이용한 low energy SIMS 분석 시에 non-zero incidence angle로 분석할 경우 surface roughness가 발생한다는 사실이 보고되었으며, surface roughness를 줄이고 분석 초기의 transient region을 줄이기 위한 방법으로 oxygen flooding을 사용하는 경우 특정 각도에서 surface roughness가 여전히 존재할 뿐 아니라 분석 초기영역에서의 sputter rate이 변화하는 문제가 있음이 보고된바 있다. 본 연구에서는 2keV O2+ 일차이온을 이용하여 oxygen flooding 하에서 기존 조건인 60도 incidence로 분석하는 방법의 문제점을 파악하고 incidence angle을 45도로 바꾸어 분석하는 방법을 검토하였다. 그 결과 기존의 분석조건에서는 분석도중 표면부근에서 sputter rate이 변화하고 surface roughness가 증가하는 것을 확인하였고, 그로 인하여 oxygen flooding을 하지 않은 경우와 많은 차이가 발생하는 것을 발견하였다. Incidence angle을 45도로 바꾼 결과 이러한 문제가 해결되는 것을 확인하였으며, 특히 GaAs
$\delta$ layer 분석을 통하여 이 분석조건이 기존의 분석조건에 비하여 획기적으로 향상되는 것을 확인 할 수 있었다. 또한 여러 가지 shallow junction 분석을 통하여 이 분석방법이 상당히 신뢰성이 있음을 알 수 있었다. 그러나 여전히 oxygen flooding을 하지 않은 경우에 비하여 다소간의 차이가 있는 것이 발견되었는데, 이는 주로 표면에 잔존하는 산화막에 의한 효과와 oxygen flooding에서 보다 더 depth resolution이 좋음으로 인하여 발생하는 것으로 추정되었으며 그 밖에 다른 가능성도 제기되었다. 따라서 이 방법은 표면 산화막이 거의 없는 시료에 대하여 적용한다면 oxygen flooding을 하지 않은 경웨 비하여 transient region이 거의 없고 junction depth를 보다 신뢰성 있게 측정할 수 잇는 장점이 있는 것으로 판단되었다. As, P의 저 에너지이온 주입시료에 대해 이 분석방법을 적용할 경우 C+s 분석법에 비하여 depth resolution을 비교적 쉽게 향상시킬 수 있었고, oxygen follding을 쓰지 않은 경우에 비해서는 검출한도를 약 100배 정도 향상시킬 수 있었다. 그러나 2.5keV Cs+ 분석법에 비하면 아직 depth resolution이 불충분하여 실제로 shallow As 분석에 적용하기에는 다소 문제점이 있었다. -
진공상태에서 diamond stylus로 MgO 표면을 마모시킬 때 발생되는 photon, electron과 마찰력을 시간의 함수로 동시에 측정하였다. 전자 방출(EE)은 Channeltron electron multiplier로 , 광자 에너지는 Photomultiplier tube를 사용하여 측정하였는데 180~600nm 영역의 photon을 검출하였다. 광자 방출(PhE,) 실험은 공기중에서도 할 수 있으나 전자방출은 1
$\times$ 10-4pa 이하의 진공에서 실험하여 얻었다. 본 실험을 통하여 결정과 diamond stylus 사이에서 일어나는 마모 현상은 millisecond로 관찰하여 표면 변화에 대한 상관관계를 조사하였다. 열처리 한것과 열처리 하지 않은 시료를 비교한 결과 3개의 signal(마찰력, PhE, EE)을 시간에 따라 분석하면 stick-slip-like 현상을 볼 수 있었다. 이것으로 보아 stick은 변형에 의해 생기고 ms 후에 벽개 현상이 발생됨을 볼 수 있다. 방출과 마찰력은 표면조건, load, stylus velocity에 따라 변하였다. luminescence는 주로 변형에 의해 생겼으며, 전자 방출은 벽개(fracture)에 의해 발생됨을 알 수 있었다. 시료의 처리과정과 load 속도에 따른 Photon, electron의 방출은 시료의 표면 상태에 따라 좌우되었다. 마찰력, PhE, EE의 시간에 따른 분석에서 PhE는 변형 과정에 민감하며, EE는 stylus velocity에 의존하였다. 이러한 방출 현상은 세라믹의 급격한 벽개 과정을 이해하는데 많은 도움을 주었다. -
Multilayered films (MLF) consisting of transition metals and semiconductors have drawn a great deal of interest because of their unique properties and potential technological applications. Fe/Si MLF are a particular topic of research due to their interesting antiferromagnetic coupling behavior. although a number of experimental works have been done to understand the mechanism of the interlayer coupling in this system, the results are controversial and it is not yet well understood how the formation of an iron silicide in the spacer layers affects the coupling. The interpretation of the coupling data had been hampered by the lack of knowledge about the intermixed iron silicide layer which has been variously hypothesized to be a metallic compound in the B2 structure or a semiconductor in the more complex B20 structure. It is well known that both magneto-optical (MO0 and optical properties of a metal depend strongly on their electronic structure that is also correlated with the atomic and chemical ordering. In order to understand the structure and physical properties of the interfacial regions, Fe/Si multilayers with very thin sublayers were investigated by the MO and optical spectroscopies. The Fe/si MLF were prepared by rf-sputtering onto glass substrates at room temperature with a totall thickness of about 100nm. The thicknesses of Fe and Si sublayers were varied from 0.3 to 0.8 nm. In order to understand the fully intermixed state, the MLF were also annealed at various temperatures. The structure and magnetic properties of Fe/Si MLF were investigated by x-ray diffraction and vibrating sample magnertometer, respectively. The MO and optical properties were measured at toom temperature in the 1.0-4.7 eV energy range. The results were analyzed in connection with the MO and optical properties of bulk and thin-film silicides with various structures and stoichiometries.
-
A strong antiferromagnetic coupling in Fe/Si multilayered films (MLF) had been recently discovered and much consideration has been given to whether the coupling in the Fe/Si MLF system has the same origin as the metal/metal MLF. Nevertheless, the nature of the interfacial ron silicide is still controversial. On one hand, a metal/ semiconductor structure was suggested with a narrow band-gap semiconducting
$\varepsilon$ -FeSi spacer that mediates the coupling. However, some features show that the nature of coupling can be well understood in terms of the conventional metal/metal multilayered system. It is well known that both magneto-optical (MO) and optical properties of a metal depend strongly on their electronic structure that is also correlated with the atomic and chemical ordering. In this study, the nature of the interfacial regions is the Fe/Si multilayers has been investigated by the experimental and computer-simulated MO and optical spectroscopies. The Fe/Si MLF were prepared by rf-sputtering onto glass substrates at room temperature with the number of repetition N=50. The thickness of Fe sublayer was fixed at 3.0nm while the Si sublayer thickness was varied from 1.0 to 2.0 nm. The topmost layer of all the Fe/Si MLF is Fe. In order to carry out the computer simulations, the information on the MO and optical parameters of the materials that may constitute a real multilayered structure should be known in advance. For this purpose, we also prepared Fe, Si, FeSi2 and FeSi samples. The structural characterization of Fe/Si MLF was performed by low- and high -angle x-ray diffraction with a Cu-K$\alpha$ radiation and by transmission electron microscopy. A bulk$\varepsilon$ -FeSi was also investigated. The MO and optical properties were measured at room temperature in the 1.0-4.7 eV energy range. The theoretical simulations of MO and optical properties for the Fe/Si MLF were performed by solving exactly a multireflection problem using the scattering matrix approach assuming various stoichiometries of a nonmagnetic spacer separating the antiferromagnetically coupled Fe layers. The simulated spectra of a model structure of FeSi2 or$\varepsilon$ -FeSi as the spacer turned out to fail in explaining the experimental spectra of the Fe/Si MLF in both intensity and shape. Thus, the decisive disagreement between experimental and simulated MO and optical properties ruled out the hypothesis of FeSi2 and$\varepsilon$ -FeSi as the nonmagnetic spacer. By supposing the spontaneous formation of a metallic ζ-FeSi, a reasonable agreement between experimental and simulated MO and optical spectra was obtained. -
Si(100) surfaces were exposed to gas-phase thermal-energy hydrogen atoms, H(g). We find that thermal H(g) atoms etch, amorphize, or penetrate into the crystalline silicon substrate, depending on the employed Ts range during the H(g) exposure. We find that etching is enhanced as Ts is lowered in the 300-700K range, while amorphous silicon hydride (a-Si:H) formation dominates at a Ts below 300K. This result was well explained by the fact that formation of the etching precursor, SiHx(a), and amorphization are both facilitated by a lower Ts, whereas the final step for etching, SiH3(a) + H(g) longrightarrow SiH3(g), is suppressed at a lower Ts. we also find that direct absorption of H(g) by the crystalline bulk of Si(100) substrate occurs within a narrow Ts window of 420-530K. The bulk-absorbed hydrogen evolved out molecularly from Si(100) at a Ts 80-120K higher than that for surface monohydride phase (
$\beta$ 1) in temperature-programmed desorption. This bulk-phase H uptake increased with increasing H(g) exposure without saturation within our experimental limits. Direct absorption of H(g) into the bulk lattice occurs only when the surface is atomically roughened by surface etching. While pre-adsorbed hydrogen atoms on the surface, H(a), were readily abstracted and replaced by D(g), the H atoms previously absorbed in the crystalline bulk were also nearly all depleted, albeit at a much lower rate, by a subsequent D(g) at the peak temperature in TPD from the substrate sequentially treated with H(g) and D(g), together with a gas phase-like H2 Raman frequency of 4160cm-1, will be presented. -
음극의 크기에 따라 발생된 전자빔 전류가 도파관 영역에서 공간 전하 한계 전류를 초과할 경우 형성되는 가상 음극 (Virtual Cathode)을 이용한 축 방향으로의 고출력 마이크로파 발생 및 진단에 관한 연구를 수행하였다. 먼저 실험에 앞서 전산모사를 통해 결과를 예측하고 실험을 통해 확인하는 순으로 하였다. 전산 모사는 2-1/2차원 Partical-In-Cell(PIC) 코드인 "MAGIC"을 사용하여 축 방향으로 진행하는 새로운 개념의 가상 음극발진기를 모사하고, 정확한 경과를 얻기 위해 강렬한 상대론적 전자빔 발생 장치인 "천둥"( 최대 전압 600kV, 최대 전류 70KA, 60ns)을 사용하여 전산 모사에 넣어줄 전류값을 얻었다. 음극의 반지름이 2.5cm 일 때 전파되는 최대 출력이 약 800MW인 마이크로파가 발생되었고, 이때 출력변환 효율이 약 30%임을 전산모사를 통하여 알 수 있었다. 또한 전파하는 전기장의 축방향 성분(Ez)의 반지름 방향에 대한 분포 특성을 통하여 주된 전파 모드가 TM01와 그 상위모드의 조합으로 이루어졌음을 알았고 이때 기대되는 동작 진동수는 5~7 GHz임을 전산 모사 결과로부터 알 수 있었다. 실험을 통해서도 음극의 크기가 2.5cm 때, 최대 출력이 약 520MW인 마이크로파를 발생하였고, 이 때 출력 변환 효율은 약 8%이고, 방전 사진을 통해서 주된 동작 모드가 TM01와 그 상위모드의 조합으로 이루어졌음을 알았고, 이때 주된 출력 진동수는 5~6 GHz임을 알 수 있었다.는 5~6 GHz임을 알 수 있었다.
-
Jeonghee Cho;Seuunghee Han;Lee, Yeonhee;Kim, Lk-Kyung;Kim, Gon-Ho;Kim, Young-Woo;Hyuneui Lim;Moojin Suh 180
Plasma source ion implantation is a new doping technique for the formation of shallow junction with the merits of high dose rate, low-cost and minimal wafer charging damage. In plasma source ion implantation process, the wafer is placed directly in the plasma of the appropriate dopant ions. Negative pulse bias is applied to the wafer, causing the dopant ions to be accelerated toward the wafer and implanted below the surface. In this work, inductively couples plasma was generated by anodized Al antenna that was located inside the vacuum chamber. The outside wall of Al chamber was surrounded by Nd-Fe-B permanent magnets to confine the plasma and to enhance the uniformity. Before implantation, the wafer was pre-sputtered using DC bias of 300B in Ar plasma in order to eliminate the native oxide. After cleaning, B2H6 (5%)/H2 plasma and negative pulse bias of -1kV to 5 kV were used to form shallow p+/n junction at the boron dose of 1$\times$ 1015 to 5$\times$ 1016 #/cm2. The as-implanted samples were annealed at 90$0^{\circ}C$ , 95$0^{\circ}C$ and 100$0^{\circ}C$ during various annealing time with rapid thermal process. After annealing, the sheet resistance and the junction depth were measured with four point probe and secondary ion mass spectroscopy, respectively. The doping uniformity was also investigated. In addition, the electrical characteristics were measured for Schottky diode with a current-voltage meter. -
최근 반도체 공정에 있어 0.2
$\mu\textrm{m}$ 이하의 미세 공정에 필요해짐에 따라 plasma를 이용한 공정이 필요하게 되었다. 그러나 ICP를 이용하여 SiO2를 etching 할 경우, SiO2/Si 선택비를 높이기 우해 전자 온도 제어가 필요하다. grid를 이용하여 전자 온도를 제어할 경우 plasma potential이 plasma 변수 제어에 중요한 역할을 하게 된다. 이번 실험에서는 grid를 이용하여 plasma potential를 변화시켜 주면서 생기는 플라즈마 변수 변화에 대해 연구하였다. -
Aigrain에 의해 Helicon이라는 이름이 명명된 이후, helicon은 저온의 금속과 같은 높은 전도도(conductivity)를 갖는 매질이나 강한 자기장이 걸려있는 plasma를 전파해 나가는 저주파 전자기장을 지칭해왔다. 이온화된 개스에서 이러한 전자기장은 전자 공명 주파수(electron cyclotron frequency)와 이온 공명 주파수(ion cyclotron frequency) 사이의 주파수로 전파하며 전리층 (ionosphere)을 통과하며 발생하는 가청 주파수 영역대의 음조가 강하하는 현상에 의해 low-frequency whistler라고도 불린다. Helicon wave plasma는 Boswell에 의해 처음 발생된 후, 높은 이온화율(~100%)로 인해 많은 연구가 이루어져 왔다. 1985년에 Chen은 helicon plasma의 높은 이온화율을 설명하기 위해 Landaudamping을 제시하였다. 이러한 설명은 1997년에 Shamrai에 의해 TG mode가 도입되기 전까지 직접적인 실험결과 없이 helicon plasma 발생의 mechanism으로 받아들여졌다. shamrai의 이론에 의하면 정전기파(electrostatic wave)는 plasma의 표면(surface)에서 강하게 감쇄되어 energy를 전달하게 된다. Cho는 radial density 분포가 외각보다 중심이 높을 경우 TG wave의 power 전달이 중심에서 일어날 수 있음을 계산하였다. Helicon plasma의 특성은 높은 이온화율에 의한 높은 밀도(
$\geq$ 1012cm3), 1-2 kW의 rf power에서 상대적으로 낮은 전자 온도( 4eV),$\omega$ ci$\omega$ LH<$\omega$ $\omega$ ce$\omega$ pe 영역대의 주파수, 자기장 50-1200 Gauss, 압력 1-10 mTorr로 특정지을 수 있다. 이러한 외부분수들의 조건에 k라 helicon plasma는 여러 종류의 mode로 존재한다. Degeling은 이러한 mode의 변화를 capacitive mode, inductive mode, 그리고 helicon mode(wave mode)의 세가지 부분으로 구분하였다. Helicon plasma가 갖는 높은 이온화율은 여러 가지 응용으로의 가능성을 가지고 있다. 그 예로 plasma processing, plasma wave에 의한 입자 가속, 그리고 가스 레이저 활성 매질 발생 등이 있다. 특히 plasma processing의 경우 helicon plasma는 높은 밀도, 비교적 낮은 자기장, remote operation 등이 가능하다는 점에서 현재 연구가 활발히 진행되고 있다. 상업용으로도 PMT와 Lucas Signatone Corp.에 서 helicon source가 제작되었다. 또한 높은 해리율을 이용하여 저유전 물질인 SiOF의 증착에서 적용되고 있다. 이 외에도 다수의 연구결과들이 발표되었다. -
플라즈마 연구 및 응용에서 플라즈마를 구성하는 이온에 대한 정보를 얻는 것은 중요하다. 특히 플라즈마 진단, 박막 증착, 플라즈마 코팅, 플라즈마 이온주입 등과 같은 플라즈마 프로세싱에서 이온들의 종류 구성비율 및 분포는 매우 중요하다. 질량분석기는 대개 큰 규모로 복잡하고 값비싼 경향이 있다. 플라즈마 교란을 최소화하면서 충분한 질량분해능을 갖고 국소적으로 이온들을 분석할 수 있는 간단하고 작은 규모의 값싼 질량분석기가 필요하다. 본 연구에서는 플라즈마 내에 존재하는 이온을 분석하기 위하여 간단하고 작은 규모의 값싼 프라즈마 이온 질량분석기를 설계, 제작하였다. 이온 질량분석기는 ion extraction part, double focusing sector magnet, ion collector로 구성되어 있다. 플라즈마에 잠기는 ion extraction part의 외부 전극에 Al2O3를 코팅하여 플라즈마 교란을 최소화하였다. 이온들의 공간적 분포를 측정하기 쉽게 하기 위하여 ion extraction part를 이동하여도 질량여과기를 통과한 후에 접속되는 초점의 위치가 Faraday ion collector 에 고정되도록 ion optical system을 설계하였다. Extracting electrode에 의하여 가속된 이온들이 sector magnet에 들어갈 때 평행이 되게 하기 위하여 여러 개의 미세구조를 갖는 Mo grids를 사용하고 immersion lens를 넣어서 이온 광학 시스템을 구성하였다. extraction electrode와 sector magnet 사이에 보조 electrode를 하나 더 넣어서 extracting electrode와 보조 electrode 사이에 immersion lens를 만들었다. 질량여과기로는 permanent magnet sector와 time-varying electrical field를 결합하여 사용하였다. Extracting electrode에 1kV 정도의 전압을 인가하여 이온들을 가속시키고 sector magnet에 톱니파 형태의 전압을 인가하여 mass spectrum을 얻었다. 이온 질량분석기를 플라즈마 장치에 적용하여 질량분해능 등의 특성을 연구하였다. Hot cathode discharge와 inductively coupled RF discharge에서 발생된 질소 플라즈마를 구성하는 이온들의 종류와 그 구성비율을 연구하였다.
-
After LeComber et al. reported the first amorphous hydrogenated silicon (a-Si: H) TFT, many laboratories started the development of an active matrix LCDs using a-Si:H TFTs formed on glass substrate. With increasing the display area and pixel density of TFT-LCD, however, high mobility TFTs are required for pixel driver of TF-LCD in order to shorten the charging time of the pixel electrodes. The most important of these drawbacks is a-Si's electron mobiliy, which is the speed at which electrons can move through each transistor. The problem of low carier mobility for the a-Si:H TFTs can be overcome by introducing polycrystalline silicon (poly-Si) thin film instead of a-Si:H as a semiconductor layer of TFTs. Therefore, poly-Si has gained increasing interest and has been investigated by many researchers. Recnetly, fabrication of such poly-Si TFT-LCD panels with VGA pixel size and monolithic drivers has been reported, . Especially, fabricating poly-Si TFTs at a temperature mach lower than the strain point of glass is needed in order to have high mobility TFTs on large-size glass substrate, and the monolithic drivers will reduce the cost of TFT-LCDs. The conventional methods to fabricate poly-Si films are low pressure chemical vapor deposition (LPCVD0 as well as solid phase crystallization (SPC), pulsed rapid thermal annealing(PRTA), and eximer laser annealing (ELA). However, these methods have some disadvantages such as high deposition temperature over
$600^{\circ}C$ , small grain size (<50nm), poor crystallinity, and high grain boundary states. Therefore the low temperature and large area processes using a cheap glass substrate are impossible because of high temperature process. In this study, therefore, we have deposited poly-Si thin films on si(100) and glass substrates at growth temperature of below 40$0^{\circ}C$ using newly developed high rate magnetron sputtering method. To improve the sputtering yield and the growth rate, a high power (10~30 W/cm2) sputtering source with unbalanced magnetron and Si ion extraction grid was designed and constructed based on the results of computer simulation. The maximum deposition rate could be reached to be 0.35$\mu$ m/min due to a high ion bombardment. This is 5 times higher than that of conventional sputtering method, and the sputtering yield was also increased up to 80%. The best film was obtained on Si(100) using Si ion extraction grid under 9.0$\times$ 10-3Torr of working pressure and 11 W/cm2 of the target power density. The electron mobility of the poly-si film grown on Si(100) at 40$0^{\circ}C$ with ion extraction grid shows 96 cm2/V sec. During sputtering, moreover, the characteristics of si source were also analyzed with in situ Langmuir probe method and optical emission spectroscopy. -
Properties of electrical discharge in flames are investigated by making use of the ionization cross section of air. Fames have three distictive features. They are hot, emit light and are weakly ionized. We investigate influence of these three characteristics of flames on the electrical breakdown. It is found that the breakdown electric field in flames is inversely proportional to the flame temperature, thereby easily generating plasmas in flames. A swarm of low-energy electrons in flames would allow significant population of electronically excited states of flame molecules to be formed. Therefore, the analysis shows that the electronic excitation of flame molecules may also considerably reduce the breakdown field. Plasma electrons generate atomic oxygens by the electron attachment of oxygen molecules in high-pressure flames. These oxygen atoms are the most reactive radicals in flames for material oxidation. How are you and your family in this new year\ulcorner Professor Choi! I plan to go back Korea on February 6. All my family members are fine and have good time because I am here. Once I am in Korea, I will call you. I am always grateful for your helpful hand. Thank you so much.
-
DLC (Diamond-Like Carbon) 박막은 높은 경도와 가시광선 및 적외선 영역에서의 광 투과도, 전기적 절연성, 화학적 안정성 및 저마찰.내마모 특성 등의 우수한 물리.화학적인 물성을 갖고 있기 때문에 여러 분야의 응용연구가 이루어지고 있다. 이러한 DLC 박막을 제작하는 과정에는 여러 가지가 있으나, 본 연구에서는 ECR-PECVD electron cyclotron resonance plasma enhanced chemical vapor deposition) 방법을 사용하였다. 이것은 최근에 많이 이용되고 있는 방법으로, 이온화률이 높을뿐만 아니라 상온에서도 성막이 가능하고 넓은 진공도 영역에서 플라즈마 공정이 가능한 장점이 있다. 기판으로는 4" 크기의 S(100)를 사용하였고, 박막을 제작하기 전에 진공 중에서 플라즈마 전처리를 하였다. 플라즈마 전처리는 Ar 가스를 150SCCM 주입시켜 5
$\times$ 10-1 torr 의 진공도를 유지시키면서, ECR power를 700W로 고정하고, 기판 bias 전압을 -300 V로 하여 5분 동안 기판을 청정하였다. DLC 박막은 ECR power를 700W. 가스혼합비와 유량을 CH4/H2 : 10/100 SCCM, 증착시간을 2시간으로 고정하고, 기판 bias 전압을 0, -50, -75, -100, -150, -200V로 변화시켜가면서 제작하였다. 이때 ECR 소스로부터 기판까지의 거리는 150mm로 하였고, 진공도는 2$\times$ 10-2torr 였으며, 기판 bias 전압은 기판에 13.56 MHz의 RF power를 연결하여 RF power에 의해서 유도되는 negative DC self bias 전압을 이용하였다. 제작된 박막을 Auger electron spectroscopy, elastic recoil detection, Rutherford backscattering spectroscopy, X-ray diffraction, secondary electron microscopy, atomic force microscoy,$\alpha$ -step, Raman scattering spectroscopu, Fourier transform infrared spectroscopy 및 micro hardness tester를 이용하여 기판 bias 전압이 DLC 박막의 특성에 미치는 영향을 조사하였다. 분석결과 본 연구에서 제작된 DLC 박막은 탄소와 수소만으로 구성되어 있으며, 비정질 상태임을 알 수 있었다. 기판 bias 전압의 증가에 따라 박막의 두께가 감소됨을 알 수 있었고, -150V에서는 박막이 거의 만들어지지 않았으며, -200V에서는 기판 표면이 식각되었다. 이것은 기판 bias 전압과 ECR 플라즈마에 의한 이온충돌 효과 때문으로 판단되며, 150V 이하에서는 증착되는 양보다 re-sputtering 되는 양이 더 많을 것으로 생각된다. 기판 bias 전압을 증가시킬수록 플라즈마에 의한 이온충돌 현상이 두드러져 탄소와 결합하고 있던 수소원자들이 떨어져 나가는 탈수소화 (dehydrogenation) 현상을 확인할 수 있었으며, 이것은 C-H 결합에너지가 C-C 결합이나 C=C 결합보다 약하여 수소 원자가 비교적 해리가 잘되므로 이러한 현상이 일어난다고 판단된다. 결합이 끊어진 탄소 원자들은 다른 탄소원자들과 결합하여 3차원적 cross-link를 형성시켜 나가면서 내부 압축응력을 증가시키는 것으로 알려져 있으며, hardness 시험 결과로 이것을 확인할 수 있었다. 그리고 표면거칠기는 기판 bias 전압을 증가시킬수록 더 smooth 해짐을 확인하였다.인하였다. -
고분자 재료에 이온을 주입함으로서 경도, 내마모, 내피로성의 기계적인 특성과 내부식성 등의 화학적 특성이 향상되며, 표면 전기전도도와 광학밀도(optical density)가 변한다. 본 연구에서는 MPPO(Modified-Polyphenlene Oxide) 표면에 N2, Ar, Xe 이온을 에너지 50keV, 선량(dose)을 1
$\times$ 1015에서 1$\times$ 1017ions/cm2로 증가시키면서 조사하였다. 이온 조사량의 증가에 따라 표면 저항이 2$\times$ 1015에서 6$\times$ 106($\Omega$ /$\square$ )으로 감소하여 표면 전기전도도가 향상되었다. Ar 이온은 1016ion/cm2이하의 조사량(dose)에서 N2보다 표면 저항을 더 많이 감소하는데 반해 1016ion/cm2 이상의 조사량에서는 Ar과 N2의 표면 저항이 비슷한 값을 나타냈다. Xe은 Ar과 N2이온에 비하여 전체적으로 표면저항이 많이 감소하여 전도도의 향상은 Xe, Ar, N2 순서로 질량이 큰 이온이 조사 효과가 큰 것으로 나타났다. 소재 표면은 SIMS 분석을 통하여 깊이에 따른 주입이온의 분포를 관찰하였으며, 표면 색상은 황색에서 갈색을 거쳐 암갈색으로 변화함으로서 가시광선에 대한 반사율(reflectance)이 감소하고 광학밀도(optical density)가 증가하여 광학적 특성이 변하였다. 이온 주입 후 에너지 전이에 의한 효과는 optical gap를 감소시켜 광학밀도(optical density)와 표면 전기 전도도를 증가시킨다. 이에 따라 본 논문에서는 이온주입에 의한 광학적, 전기적 특성간의 상관관계를 밝히고자 한다. -
침질탄화처리는 표면경화처리의 화학열처리 종류로서 지난 수 십년동안 많이 사용된 방법이며 값싼 철강재료 즉 보통탄소강, 저합금강 등에 내마모성, 내부식성 및 내피로성 향상에 사용되어 왔다. 그리고 자동차 부품, 기계류 부품, 공업용 공구 등에 적용할 수 있으며 염욕 및 가스를 매체로 사용한다. 침질 탄화처리는 질소와 탄소가 동시에 철재료로 확산 침투하여 최표면에 탄질화물의 화합물층을 형성하고 화합물층 아래에 확산층을 형성하는데 일반적으로 화합물층이 단상의
$\varepsilon$ 화합물일 때 내마모성과 내부식성을 확산층이 내피로성질을 향상시킨다. 이러한 염욕과 가스 침질탄화 처리에도 불구하고 플라즈마 화학열처리는 가스방법에 비해 현저하게 가스 소모량이 적고 에너지 효율이 높으며 현제 문제시되는 환경오염이 전혀 없기 때문에 크게 각광받고 있다. 현재 플라즈마 침진탄화처리에 많은 연구를 하였음에도 불구하고 단상의$\varepsilon$ 화합물층을 형성시키는 어려운 문제점으로 남아 있으며 대부분의 화합물층은 최표면의$\varepsilon$ 상과${\gamma}$ '상으로 구성되어 있고 이러한 혼합상의 화합물층은$\varepsilon$ 상과${\gamma}$ '상의 방위가 서로 불일치하기 때문에 마모시에 미소크랙을 유발시켜 내마모성을 저하시키는 요인으로 작용한다. 따라서 본 연구에서는 CH4 가스를 사용하여 내마모성과 내부식성을 향상시키는 단상의$\varepsilon$ 화합물층 생성가능성을 고찰하고자 하였다. 침진탄화 처리시간을 변화시켰을 때 화합물층의 생성은${\gamma}$ '상으로부터 시작되고$\varepsilon$ 상은 즉시${\gamma}$ '상을 소모하면서 생성되어 일정시간이 지난 후$\varepsilon$ 상은 안정화되며 질소가스농도가 증가할수록 화합물 층내의$\varepsilon$ 상분율은 역시 증가하였다. 한편 CH4 가스농도는 처리되는 강종에 따라 차이를 보이며 적정 CH4 가스농도를 초과시에는$\varepsilon$ 상 생성은 억제되고 시멘타이트상이 생성되었다. -
마이크로파 절연파괴(breakdown) 및 ECR 플라즈마를 발생시키기 위해 2.45 GHz 마그네트론을 사용하여 간편한 마이크로파 발생장치를 제작하였다. 이 장치는 KAIST-토카막에서 고온 플라즈마를 발생시킬 때 재현성이 좋은 플라즈마를 얻기 위해서 전 이온화하는데 이용된다. 장치에 사용한 마그네트론은 LG 전자의 2M213이고 출력 500W, 주파수 2.45GHz이며, 가정용 전자오븐에 사용된다. 기존의 가정용 마그네트론은 음극(cathode)과 양극(anode)사이에 걸리는 고전압이 60Hz의 주기를 갖기 때문에 약 16ms 마다 8ms동안만 주기적으로 초고주파를 발생한다. 이 마그네트론을 사용하여 연속적으로 발생되는 마이크로파를 얻기 위해서 음극과 양극사이에 개량된 회로로 리플전압이 작은 DC 고전압(5kV, 1A)을 인가하였다. 본 연구에서는 주기적으로 생성.소멸하는 ECR 프라즈마와 연속적인 ECR 플라즈마를 발생시켜 랑뮈어탐침과 광증배관(PMT)을 이용한 H
$\alpha$ 방출(emission)을 측정하여 마이크로파 발생장치의 특성을 조사하였다. -
플라즈마 내부에서 성장하는 티끌입자는 미세회로의 제조 시 수율저하와 제품의 신뢰도를 떨어뜨리는 원인이 된다. 이 티끌입자는 일반적으로 0.1
$mu extrm{m}$ 정도의 크기를 가지고 있으며 공정 중 웨이퍼 모서리주변에 머무르다가 떨어져 웨이퍼를 오염시킨다. 본 연구에서는 아세톤을 사용하여 플라즈마를 발생시키고, 티끌입자의 씨앗으로 알루미나 분말을 개스에 실어 플라즈마 내로 주입하여 입자의 성장을 관찰하였다. 플라즈마의 아래쪽에 유리기판을 두고 일정시간 동안의 반응이후 기판표면을 조사한 결과 약 1$\mu\textrm{m}$ 정도까지 성장한 티끌입자를 관찰할 수 있었으며, 특히 Sheath 포텐셜이 큰 유리기판의 모서리 부분이 심하게 오염된 것을 볼 수 있었다. 티끌입자는 일반적으로 음으로 대전되어 있으므로, 이 티끌입자에 의한 유리기판의 오염을 제어하기 위한 아이디어로 기판 위 5cm되는 위치에 그리드를 설치한 다음 음전압을 인가하였다. 바이어스 전압이 -200V의 경우, 인가한 음전압은 오염을 촉진시켰으나 -100V를 인가한 경우에는 오염이 감소하는 것을 관찰하였다. -
박막 내의 잔류 응력은 막의 기계적 전기적 물성을 변화시키는 등 박막에 많은 영향을 끼치는 것으로 알려져 있다. 이러한 응력은 박막의 증착 공정중 여러 가지 증착 조건에 의해서 변화하게 되는데, 특히 스퍼터링 시스템의 경우에는 증착 압력과 사용하는 가스, 인가되는 전력 등 기본적인 증착조건들에 상당한 영향을 받는다. 이러한 영향은 금속 박막의 경우 상당히 잘 알려져 있다. 또한 반도체 공정에서 금속화 과정중 금속 전극의 단락등을 막기 위해 많은 연구가 진행되어 왔다. 본 논문에서는 고주파 마그네트론 스퍼터링 시스템을 사용하여 산화 아연(ZnO)을 증착하고 여러 공정 변수들에 따른 응력의 변화를 관찰하였다. 실험에서 ZnO 타겟을 사용하였으며, 작동 가스로는 아르곤과 산소를 사용하였다. 증착한 박막들은 모두 압축 응력을 보였으며, 박막의 응력에 가장 큰 영향을 미치는 요소들은 압력, 산소와 아르곤의 비, 기판과 타겟과의 거리 등이었는데, 인가 전력에는 거의 영향을 받지 않았다. 일반적으로 스퍼터링 시스템에서의 압축응력은 atomic peening에 의해서 형성되는데, 박막을 두드리는 높은 에너지의 아르곤이나 산소의 유량과 에너지의 1/2승에 비례하는 것으로 알려져 있다. 그러나 본 시스템에서는 인가 전력을 높여도 응력이 증가하지 않았고, 타겟과의 거리를 줄이면 오히려 응력이 감소함을 보였다. 이는 박막의 응력이 peening 하는 입자의 에너지뿐만이 아니라 증착되는 물질의 증착 속도와도 밀접한 관련이 있음을 보여준다. 즉, 증착속도가 증가하면 peening하는 입자가 끼치는 응력의 효과가 반감되기 때문으로 수식을 통해 증명할 수 있었다.진탄화 처리시간을 변화시켰을 때 화합물층의 생성은
${\gamma}$ '상으로부터 시작되고$\varepsilon$ 상은 즉시${\gamma}$ '상을 소모하면서 생성되어 일정시간이 지난 후$\varepsilon$ 상은 안정화되며 질소가스농도가 증가할수록 화합물 층내의$\varepsilon$ 상분율은 역시 증가하였다. 한편 CH4 가스농도는 처리되는 강종에 따라 차이를 보이며 적정 CH4 가스농도를 초과시에는$\varepsilon$ 상 생성은 억제되고 시멘타이트상이 생성되었다.e에서 발생된 질소 플라즈마를 구성하는 이온들의 종류와 그 구성비율을 연구하였다.여러 가지 응용으로의 가능성을 가지고 있다. 그 예로 plasma processing, plasma wave에 의한 입자 가속, 그리고 가스 레이저 활성 매질 발생 등이 있다. 특히 plasma processing의 경우 helicon plasma는 높은 밀도, 비교적 낮은 자기장, remote operation 등이 가능하다는 점에서 현재 연구가 활발히 진행되고 있다. 상업용으로도 PMT와 Lucas Signatone Corp.에 서 helicon source가 제작되었다. 또한 높은 해리율을 이용하여 저유전 물질인 SiOF의 증착에서 적용되고 있다. 이 외에도 다수의 연구결과들이 발표되었다. 잘 일치하였다.ecursor 분자들이 큰 에너지를 가지고 기판에 유입되어 치밀한 박막이 형성되었기 때문으로 사료된다.을수 있었다.보았다.다.다양한 기능을 가진 신소재 제조에 있다. 또한 경제적인 -
There are difficulties on transport modelling on high density plasma discharge, because of severe restrictions on space grid size and time step size. We present a new unconditionally stable algorithm for fluid simulation of high density process plasma. The origin of the restriction is investigated and a new method to solve the problem is suggested, The simulation result is compared with the other methods previously developed.
-
TFT-LCD의 제조공정은 박막층의 식각 공정에 대해 기존의 습식 공정을 대치하는 건식식각이 선호되고 있다. 건식 식각 공정은 반도체 공저에 응용되면서 소자의 최소 선폰(CD)이 감소함에 따라 유도결합셩 프라즈마를 비롯한 고밀도 플라즈마 이용한 플라즈마 장비 사용이 증가하는 추세이다. 여기에 평판디스플레이의 공정을 위해서는 대면적과 사각형 기판에 대한 균일도를 보장할 수 있는 고밀도의 균일한 플라즈마 유지가 중요하다. 본 실험에서는 자장강화된 유도결합형 플라즈마의 플라즈마 밀도 및 균일도를 살펴보고 TFT-LCD에 gate 전극으로 사용되는 Al-Nd 박막의 식각을 통하여 식각균일도와 식각속도 및 식각 선택도 등의 건식 식각 특성을 보고자 한다. 영구자석 및 전자석의 설치는 사각형의 유도결합형 플라즈마는 소형 영구자석을 배열하여 부착하였으며, 외부에는 chamber와 같이 사각형태의 전자석을 500mm
$\times$ 500mm의 크기를 갖는 z축 방향의 Helmholtz형으로 제작하였다. 더. 영구자석 배열에 대해서는 자석간의 거리와 세기 변화를 조합하여 magnetic cusping의 변화를 주었으며 전자석의 세기는 전류값을 기준으로 변화시켜 보았다. 실험을 통하여 플라즈마 균일도를 5% 이하로 개선하고 이러한 균일도를 유지하며 플라즈마 밀도를 높일 수 있는 조건을 찾을 수 있었다. 이러한 적합화된 조건에서 저장강화된 유도결합형 프라즈마를 Al-Nd 박막 식각에 응용한 결과, Al-Nd의 식각속도 및 식각 선택도는 유도결합형 프라즈마에 비해 크게 증가하였으며, 식각균일도가 개선되는 것을 관찰하였다. 또한 electrostatic probe(Hiden, Analytical)를 이용하여 Al-Nd 식각에 사용된 반응성 식각가스에 대한 저장강화된 유도결합형 플라즈마의 특성 분석을 수행하였다.c recoil detection, Rutherford backscattering spectroscopy, X-ray diffraction, secondary electron microscopy, atomic force microscoy,$\alpha$ -step, Raman scattering spectroscopu, Fourier transform infrared spectroscopy 및 micro hardness tester를 이용하여 기판 bias 전압이 DLC 박막의 특성에 미치는 영향을 조사하였다. 분석결과 본 연구에서 제작된 DLC 박막은 탄소와 수소만으로 구성되어 있으며, 비정질 상태임을 알 수 있었다. 기판 bias 전압의 증가에 따라 박막의 두께가 감소됨을 알 수 있었고, -150V에서는 박막이 거의 만들어지지 않았으며, -200V에서는 기판 표면이 식각되었다. 이것은 기판 bias 전압과 ECR 플라즈마에 의한 이온충돌 효과 때문으로 판단되며, 150V 이하에서는 증착되는 양보다 re-sputtering 되는 양이 더 많을 것으로 생각된다. 기판 bias 전압을 증가시킬수록 플라즈마에 의한 이온충돌 현상이 두드러져 탄소와 결합하고 있던 수소원자들이 떨어져 나가는 탈수소화 (dehydrogenation) 현상을 확인할 수 있었으며, 이것은 C-H 결합에너지가 C-C 결합이나 C=C 결합보다 약하여 수소 원자가 비교적 해리가 잘되므로 이러한 현상이 일어난다고 판단된다. 결합이 끊어진 탄소 원자들은 다른 탄소원자들과 결합하여 3차원적 cross-link를 형성시켜 나가면서 내부 압축응력을 증가시키는 것으로 알려져 있으며, hardness 시험 결과로 이것을 확인할 수 있었다. 그리고 표면거칠기는 기판 bias 전압을 증가시킬수록 더 smooth 해짐을 확인하였다.인하였다.을 알 수 있 -
본 연구에서는 다용도 구동 모사 장치인 VDS(versatile driving simulator)를 이용하여 기존에 상용되고 있는 AC-PDP의 파형 분석 및 구동 파형 최적화를 위한 기초 연구 결과들을 소개한다. 일반적으로 PDP, FED, LCD 등의 평판 표시장치들은 패널 자체의 특성 뿐만 아니라 패널에 인가되는 전압 파형과 구동 방법에 따라 효율 및 화질을 포함한 전체 성능이 크게 좌우된다. 따라서 각 표시장치에 가장 적합한 파형과 구동법을 연구하는 것은 매우 중요한 과제이다. 특히 PDP의 경우 구동 파형이 효율과 화질에 미치는 영향은 절대적이라 해도 과언이 아니다. 그러나 이들 평판 표시장치를 구동하는 상용회로들은 스위칭 회로를 이용한 것이 대부분으로 한 개의 파형 당 한 부분의 회로가 담당하는 형태로 되어 있다. 즉 자유도가 매우 제한되어 있다. 따라서 파형 변화에 따른, 그리고 구동법의 변화에 따른 연구를 하기 위해서는 많은 인력과 시간이 소요된다는 어려움이 있다. 이러한 문제점을 해소하기 위하여 새로운 개념의 구동모사 장치 VDS(versatile driving simulator)를 개발하였다. VDS 시스템은 디지털 기술과 아날로그 기술을 통합하여 제작된 구동 모사장치로써 평판 표시 장치에 인가되는 실제 파형 및 구동법을 손쉽게 변화시킬 수 있으며 이의 결과를 빠르게 확인할 수 있도록 설계되었다.
-
AC-PDP의 구동 요소 중 중요한 것은 벽전하와 그로부터 유도되는 벽전압, 그리고 프라이밍입자(priming partical)의 밀도 변화라고 할 수 있다. 패널의 초기화가 전구간의 방전을 좌우하기 때문에 초기화 펄스의 기울기에 따른 방전현상을 이해하고자 각 구간에서의 전기-광학적 특성과 함께 휘도와 효율의 관점에서 연구 조사하였다. 본 실험에서 사용한 reset 펄스파형은 셀의 방전개시전압과 인가전압사이의 차이가 적고, 초기 프라이밍 입자와 단위시간당 전자에 공급되는 에너지가 적은 램프형태의 초기화 펄스를 사용하였다. 실험장치는 VDS(versatile driving simulator)시스템을 이용하였다. 실험결과 reset의 기울기가 커질수록 반응시간이 빨라지며, 약방전의 형태를 고속이미지로 확인하였다.
-
3전극 면방전형 AC-PDP의 구동에 있어서 방전유지 펄스의 폭에 따른 전기적 특성의 변화, 즉 방전 개시전압, 방전 유지전압, 메모리 상수, 셀 내 정전용량, 벽전하량, 벽전압 및 휘도 및 방전전력 측정을 통한 발광효율 등을 측정하였다. 본 연구를 위하여 셀핏치 1,080
$mu extrm{m}$ 의 test panel을 제작하였다. 방전 유지전극의 폭과 간격은 각각 260$\mu\textrm{m}$ , 100$\mu\textrm{m}$ , 유전층은 30$\mu\textrm{m}$ , 격벽은 120$\mu\textrm{m}$ 로 제작하였다. 방전유지전극에 300ns의 상승시간을 갖는 사각파를 10~50kHx의 다양한 진동수범 위에서 펄스의 폭을 변화시키면서 방전전압과 메모리 상수등을 측정하고, 각 경우 휘도와 방전소모전력을 측정하여 최종적으로 효율을 비교하였다. 진동수의 증가나 펄스 폭의 증가에 따라 방전 전압이 감소함을 확인하였고, 특정한 펄스 폭일 때 자기소거방전이 생김을 관측하였다. 또한 특정 펄스 폭에 대하여 특정 진동수로 전압을 인가할 경우 자기 소거방전이 있음에도 불구하고 방전전류가 유지되는 특성이 있음을 관측하였다. -
본 실험은 궁극적으로 coplanar AC-PDP 구동의 고효율(η)과 고화질을 목표로 두고 있는 기초 물리실험이다. AC-PDP의 최적화는 이 외에 많은 요소를 가지고 있으므로 다각도로 고찰하여야 한다. 이번 연구에서는 VDS(versatile driving simulator)를 이용하여 ramp resets pulses에서의 addressibility를 dynamic margin의 관점에서 조사하였다. AC-PDP panel의 구조는 R,G,B 3개의 cell이 모여 한 개의 화소를 이루고 있으며, R,G,B 각각의 Vf가 다르게 Va가 각각 다르다. 그러므로 실험을 통해 panel에서 R,G,B의 Vf-Va 공통 영역을 최적화하려는데 의미를 두고 있다.
-
AC-PDP의 구동은 인가되는 Pulse의 파형에 의하여 결정된다. 이번 실험은 AC-PDP의 Subfield 파형중 Sustain 부분 첫 번째 Pulse의 폭(Width)에 변화를 주어 다음 Suatain Pulse 기간동안의 전기 광학적 특성을 구속 카메라와 전류의 변화를 통하여 측정하였으며 Sustain Pulse의 Rising Time을 변화 시켜 방전특성을 측정하였다. 이를 통하여 적합한 Sustain 구간의 초기조건을 예측하였으며, 적합한 Sustain Pulse를 찾아가는 실험 방법을 찾고자 하였다.
-
AC-PDP(Plasma Display Panel)에 사용하는 MgO 보호막의 이차전자 방출계수(
${\gamma}$ )는 AC-PDP의 방전특성을 결정짓는 중요한 요소이다. MgO 보호막의 이차전자 방출계수는 AC-PDP에 주입하는 기체의 종류에 영향을 받는다. 현재 AC-PDP에는 방전특성의 향상과 VUV 발생을 위하여 He, Ne, Xe 등의 혼합기체가 사용되고 있으며, N 기체를 혼합하여 사용할 경우 더 좋은 발광효율을 얻을 수 있다는 보고가 있다. 이번 실험에서는 (100) 방향으로 배향된 MgO Bulk Crystal과 MgO 보호막의 이차전자방출계수를${\gamma}$ -FIB 장치로 N2 기체혼합비율에 따라 측정하였다. 혼합기체는 Ne=N2 이원기체를 여러 가지 혼합 비율로 변화시켜가며 실험하였다. MgO 보호막은 실제 21inch 규격의 Panel을 사용하였다. -
AC-PDP(Plasma Display Paner)는 기체 방전을 이용한 디스플레이로서 기체에 직접 노출되는 MgO 보호막의 2차전자 방출계수(
${\gamma}$ 는 AC-PDP의 방전특성을 결정짓는 중요한 요소이다. MgO 보호막의 이차전자 방출계수는 AC-PDP에 주입하는 기체의 종류, 결정 방향성과 표면오염상태 등에 영향을 받는다. 본 연구에서는 유리 기판위에 Al 전극을 증착, 에칭후 screen printing으로 유전체를 도포, 소성 한 21inch 규격의 test panel에 MgO 보호막을 E-Beam으로 5000$\AA$ 증착한 후 MgO 보호막을 대기에 노출되는 시간간격을 변수로 하여 대기 열처리 한 MgO보호막의 2차 전자방출계수를${\gamma}$ -FIB(Focused Ion Beam) 장치를 이용하여 측정하였다. 그리고 대기 노출 간격은 1분, 5분, 20분으로 하여 2차 전자방출계수를 측정하였고, 2차전자방출계수 측정 시 가속전압은 50V에서 200V까지 변화를 주었으며, Ne+을 사용하여 1.2$\times$ 10-4Torr의 진공도를 유지하며 측정하였다. 또한 각각의 MgO막의 에너지 갭을 광학적 방법을 이용하여 구하였다. -
VDS(Versatile Driving Simulator) 시스템을 이용하여 방전유지전압펄스의 휴지기 변화에 따른 공간전하의 거동과 벽전하, 벽전압 및 효율 특성을 연구하였다. 이때 휴지기 변화에 따른 실험을 위하여 방전유지전압의 진동수 및 duty ratio를 변화시켰고, 사용된 테스트 패널은 전극폭 260um, 전극간격 100um, 격벽높이 120um, 기압은 400Torr로 Ne-Xe(4%) 기체를 사용하였다. 이 결과로부터 방전유지전압펄스의 휴지기 변화에 따른 벽전하, 벽전압 및 효율 측정을 통하여 최적의 방전유지전압펄스의 조건을 결정할 수 있으며, 이는 AC PDP의 효율 향상에 기여할 것으로 판단되어 진다.
-
AC-PDP로부터 방출된 빛의 방전 현상을 이해하고자 시간-공간상으로 분해된 방전이미지를 유지방전시 진동수와 유지방전전압에 따라 조사하였다. 방전이미지는 방전전류가 최대인 지점에서 최대 밝기를 가지며 전류량이 많을수록 향상됨을 볼 수 있었다. 사용된 패널은 전극폭 260umm, 전극간격 100um, 격벽높이 120um, 기압은 400Torr로 Ne-Xe(4%) 가스를 사용하였다. 실험조건은 진동수 50KHz, 100KHz, 150KHz와 유지방전전압 170V, 180V, 190V, 200V에 따른 방전이미지의 변화된 형태를 고찰하였다.
-
Multi- and single-wall carbon nanotubes are promising new carbon materials in nano-electronics, field-emitters, CRT-displays, hydrogen storage materials, biomedical tracers and so forth. The present talk will deal with a high-yield synthesis on quasi-aligned multi-wall carbon nanotubes via a chemical vapor deposition technique. I will also talk about a possible growth mechanism on single-wall carbon nanotubes based on newly obtained experimental results.
-
본 연구에서는 다양한 끝 모양을 지닌 (n,n) 나노튜브에 대한 전자구조를 외부 전기장이 걸려있을 때와 없을 때에 대해 제일 원리적 (ab-initio) 방법을 써서 연구하였다. 계산한 모델들 중 비스듬히 잘린 지그재그 형태의 끝 모양을 가진 나노튜브가 가장 좋은 전계 방출 효과가 있음을 알아냈다. 이것은 페르미 레벨 근처에 존재하는 짝지어지지 못한 전자들로 인한 것이다. 다음으로 유리한 구조는 캡 모양의 끝을 가진 나노튜브로서 이것은 캡에 국소적으로 존재하는 파이 상태의 전자가 전기장 방향으로 향하고 있기 때문이다. 이외에 유도된 전기장이 튜브의 종횡비에 비례하는 법칙이 있음을 발견하였다.
-
Carbon nanotubes have been intensively investigated for its fundamental and technical importances. Structural diversities and the related diverse physical properties with large aspect ratios are fascinating, For instance carbon nanotubes are metal and semiconductors depending on its chirality and furthermore the band gap can be tailored by the diamters. Several issues on its fundamental properties have been discussed. We will review some fundamental problems for band structures, molecular quantum wires, homojunctions, single electron tunneling, and quantum conductance. Several issues related to syntheis of carbon nanotubes including arc discharge, chemical vapor deposition, laser ablation will be extentively discussed. We will further review the applicability of carbon nanotubes on resonator, nanobalance, FET-type transistor, field emission displays electrode for secondary battery and hydrogen storage.
-
Vertically well aligned multi-wall carbon nanotubes (CNT) were grown on nickel coated glass substrates by plasma enhanced hot filament chemical vapor deposition at low temperatures below 600
$^{\circ}C$ . Acetylene and ammonia gas were used as the carbon source and a catalyst. Effects of growth parameters such as pre-treatment of substrate, plasma intensity, filament current, imput gas flow rate, gas composition, substrate temperature and different substrates on the growth characteristics of CNT were systematically investigated. Figure 1 shows SEM image of CNT grown on Ni coated glass substrate. Diameter of nanotube was 30 to 100nm depending on the growth condition. The diameter of CNT decreased and density of CNT increased as NH3 etching time etching time increased. Plasma intensity was found to be the most critical parameter to determine the growth of CNT. CNT was not grown at the plasma intensity lower than 500V. Growth of CNT without filament current was observed. Raman spectroscopy showed the C-C tangential stretching mode at 1592 cm1 as well as D line at 1366 cm-1. From the microanalysis using HRTEM, nickel cap was observed on the top of the grown CNT and very thin carbon amorphous layer of 5nm was found on the nickel cap. Current-voltage characteristics using STM showed about 34nA of current at the applied voltage of 1 volt. Electron emission from the vertically well aligned CNT was obtained using phosphor anode with onset electric field of 1.5C/um. -
Carbon nanotubes (CNTs) have been spotlighted as one of promising field emission displays(FEDs). For the first time, to authors knowledge, we have developed the 9" color CNT-FEDs with the resolution of 240x576 lines. The 9" CNT-FEDs with diode-type and triode-type structures are presented. The well-dispersed CNT paste was squeezed onto the metal-patterned cathode glass. For the anode plate, the Y2O2S:Eu, ZnS:Ag,Cl low-voltage phosphors were printed for red, green, and blue colors, respectively. The vacuum-packaged panel maintained the vacuum level of 1x10-7 Torr. The uniform moving images vacuum-packaged panel maintained the vacuum level of 1x10-7 Torr. The uniform moving images were demonstrated at 2 V/um. High brightness of 800, 200, and 150cd/m2 was observed on the green, red, and blue phosphors at V/um, respectively. Field emission characteristics of a triode-type CNT-FED were simulated using a finite element method. the resultant field strength on the cathode was modulated by gate bias and emitted electrons were focused on the anode. A relatively uniform emission image was experimentally achieved at the 800V anode. A relatively uniform emission image was experimentally achieved at the 800V anode and the 50-180 V gate biases. Energy distribution of electrons emitted from CNTs was measured using an energy analyzer. The maximum peak of energy curve corresponded to the Fermi energy level of CNTs. The whole fabrication processed of CNT-FEDs were fully scalable and reproducible. Our CNT-FEDs has demonstrated the high potential of large-area and full-color applications with very low cost fabrication and low power consumption.
-
Since the first obserbvation of carbon nanotubes, extensive researches have been done for the synthesis using arc discharge, laser vaporization, and plasma-enhanced chemical vapor deposition. Carbon nanotubes have unique physical and chemical properties and can allow nanoscale devices. Vertically aligned carbon nanotubes with high quality on a large area is particularly important to enable both fundamental studies and applications, such as flat panel displays and vacuum microelectronics. we have grown vertically aligned carbon nanotubes on a large area of Si substrates by thermal chemical vapor deposition using C2H2 gas at 750-950
$^{\circ}C$ . we deposited catalytic metal on Si susbstrate using thermal evaporation. The nanotubes reveal highly purified surface. The carbon nanotubes have multi-wall structure with a hollow inside and it reveals bamboo structure agreed with base growth model. Figure 1 shows SEM micrograph showing vertically aligned carbon nanotubes whih were grown at 950$^{\circ}C$ on a large area (20mm${\times}$ 30mm) of Si substrates. Figure 2 shows TEM analysis was performed on the carbon nanotubes grown at 950$^{\circ}C$ for 10 min. The carbon nanotubes are multi-wall structure with bamboo shape and the lack of fringes inside the nanotube indicates that the core of the structure is hollow. In our experiment, carbon nanotubes grown by the thermal CVD indicate base growth model. -
Direct laser vaporization of transition-metal(Co, Ni)/graphite composite pellet produced single wall carbon naotubes(SWNT) in the condensing vapor in a heated flow cylinder-type tube furnace, Transition metal/graphite composite pellet target was made by mixing graphite, Co, and Ni in 98:1:1 atomic weight ratios, pressing the mixed powder, and curing it. The target was placed in a tube furnace maintained at 1200
$^{\circ}C$ and Ar inert collision gas continuously flowed into the tube. The 2nd harmonic, 532nm wavelength light from Nd-YAG laser was used to vaporize the tube. The carbon nanotubes produced by the laser vaporization were accumulated on quartz tube wall. The raw carbon nanotube materials were purified with surfactants(Triton X-100) in a ultrasonicator. These carbon nanotubes were analyzed using SEM, XRD, and Raman spectroscopic method. The carbon nanotube growth on the Ni-patterned Si substrate was investigated by the CVD process. Transition-metal, Ni and CH4 gas were used as a catalyst and a reactant gas, respectively. The structure and the phonon frequencies of the carbon nanotubes formed on the patterned Si substrate were measured by SEM and Raman spectrometer.