한국진공학회:학술대회논문집 (Proceedings of the Korean Vacuum Society Conference) (Proceedings of the Korean Vacuum Society Conference)
한국진공학회 (The Korean Vacuum Society)
- 반년간
과학기술표준분류
- 물리학 > 광학
한국진공학회 2016년도 제50회 동계 정기학술대회 초록집
-
Thin films synthesized by plasma processes have been widely applied in a variety of industrial sectors. The structure control of thin film is one of prime factor in most of these applications. It is well known that the structure of this film is closely associated with plasma parameters and species of plasma which are electrons, ions, radical and neutrals in plasma processes. However the precise control of structure by plasma process is still limited due to inherent complexity, reproducibility and control problems in practical implementation of plasma processing. Therefore the study on the fundamental physical properties that govern the plasmas becomes more crucial for molecular scale control of film structure and corresponding properties for new generation nano scale film materials development and application. The thin films are formed through nucleation and growth stages during thin film depostion. Such stages involve adsorption, surface diffusion, chemical binding and other atomic processes at surfaces. This requires identification, determination and quantification of the surface activity of the species in the plasma. Specifically, the ions and neutrals have kinetic energies ranging from ~ thermal up to tens of eV, which are generated by electron impact of the polyatomic precursor, gas phase reaction, and interactions with the substrate and reactor walls. The present work highlights these aspects for the controlled and low-temperature plasma enhanced chemical vapour disposition (PECVD) of Si-based films like crystalline Si (c-Si), Si-quantum dot, and sputtered crystalline C by the design and control of radicals, plasmas and the deposition energy. Additionally, there is growing demand on the low-temperature deposition process with low hydrogen content by PECVD. The deposition temperature can be reduced significantly by utilizing alternative plasma concepts to lower the reaction activation energy. Evolution in this area continues and has recently produced solutions by increasing the plasma excitation frequency from radio frequency to ultra high frequency (UHF) and in the range of microwave. In this sense, the necessity of dedicated experimental studies, diagnostics and computer modelling of process plasmas to quantify the effect of the unique chemistry and structure of the growing film by radical and plasma control is realized. Different low-temperature PECVD processes using RF, UHF, and RF/UHF hybrid plasmas along with magnetron sputtering plasmas are investigated using numerous diagnostics and film analysis tools. The broad outlook of this work also outlines some of the 'Grand Scientific Challenges' to which significant contributions from plasma nanoscience-related research can be foreseen.
-
For the past three decades, extensive research has been performed in the surface design of new polymers for a variety of medical applications. Great progress in therapeutics and diagnostics can be attributed to these scientific advances in biomedical polymers. A variety of bioinert materials or bioactive materials using drugs, cells, and growth factors are widely utilized for the implants, devices and tissue regeneration. These materials provide an improved biocompatible materials to host, to significantly decrease or increase the host/tissue/blood response to the foreign materials. In the future, biomaterials will play a different role in modern therapeutics. New materials will be tailored to interact more on a protein and cellular level to achieve high degree of biocompatibility, biospecificity and bioacitivity. In this presentation, various biocompatible materials based on surface/bulk engineering will be demonstrated, which can be utilized as therapeutics implants and therapeutic vehicles for biologically active molecules such as cell, protein /peptide and gene.
-
극고진공 장치와 대형 초진공 시스템에서 원하는 진공도를 합리적으로 얻기 위해서는 진공 재료의 기체방출률을 줄이는 것이 가장 효과적이다. 이 때문에 기능성 피막 처리, 고온 탈기체 처리와 같은 방법이 적용되고 있다. 스테인리스강은 표면에 치밀한 크롬 산화막을 만들거나 재료의 기체 함유량을 줄이는 방법을 사용한다. 알루미늄합금 강은 특수처리로 표면에 알루미늄 산화막을 형성함으로써 기체방출률을 낮추고 있다. 이 발표에서는 스테인리스강을 비교적 낮은 온도에서 처리하여 매우 낮은 기체방출률을 얻는 노력에 대하여 보고한다. 알루미늄합금에 대해서는 특수압출과 내 표면 거울처리 하는 방법에 대하여 설명한다. 또한, 연강과 같은 금속재료의 기체방출률 측정 결과를 제작 공정으로 설명한다. 한편, 지정한 시간 내에 목표 진공도를 얻는 것과 최소 배기속도를 선택하고 적절히 배분하는 것도 매우 중요하다. 작고 가벼운 게터 펌프와 이온펌프의 조합으로 이를 해결하는 노력, 이온펌프의 최적화 연구에 대하여 보고하고자 한다.
-
최근 포항가속기연구소 10A 빔라인에 Scanning Transmission X-ray Microscopy (STXM)가 완성되어 운영 중이다. Soft x-ray imaging 장치로서 기술적으로 Sample scanning 기법이 활용된다. 이는 Zone plate를 통해 집속된 빔이 샘플에 조사되고 검출되는 방식이다. 이러한 Scanning 기법을 활용하고 있는 10A STXM은 기본적으로 흡수분광기법 (x-ray absorption spectroscopy)을 이용하고 있다. 특히, 10A 빔라인 STXM은 최고 20 nm까지 공간분해능이 가능하다는 장점이 있다. 따라서 수십에서 수백 나노미터 크기의 시료들 또는 나노구조에 대한 물리화학적 상태 분석이 쉽게 이루어지고 있다. 주로 시료를 투과하면서 흡수되는 X-선 세기 대비를 맵핑하는 형식의 이미지 데이터와 더불어 X-선의 에너지를 조정함으로써 각 에너지에 해당하는 이미지스택을 결과로 얻게 된다. 이러한 이미지 결과로부터 시료의 나노크기에서 오는 물리화학적 상태를 분석하고 물리에서 바이오까지 다양한 분야의 실험 활용이 가능한 상태다.
-
전기방전의 기본적인 특성을 가지고 있는 플라즈마를 이용하여 재료를 가공하는 증착, 식각, 표면처리 공정에 있어서 플라즈마 내의 전자 충돌 반응에 의한 이온, 라디칼의 생성과 재료 표면의 반응을 분석하는 도구로써 분압 측정은 일반적인 화학 조성 분석에 기원한 오랜 역사를 가지고 있다. 1 amu 정도의 분해능을 가지고 있고 크기가 30 cm 정도에 불과한 사중극자 질량 분석기는 적절한 질량 스캔 시간과 넓은 이온 전류 측정 범위를 가지므로 소형 차등 배기 시스템과 조합하면 1 mTorr 영역의 스퍼터링 시스템에서 1 Torr 영역의 PECVD/PEALD 시스템 진단에도 쉽게 적용이 가능하다. Inficon사의 CPM-300과 Pfeiffer사의 Prisma80을 이용한 플라즈마 식각 공정 분석 결과를 보면 동위원소까지 분석이 가능하다. 또한 전자충돌 이온화 에너지를 조절하여 m/q(질량전하비율)가 중첩되는 경우의 해석도 가능하다. 다중 오리피스를 갖는 compact design의 밸브 블록을 이용한 설계에서는 line-of-sight 입사가 불가능하여 이온 전류를 분석할 수 없다는 단점이 있으나 표준 가스를 이용한 정량화 등의 큰 장점들이 있다. 최근 이루어진 연구의 내용으로는 유도 결합 플라즈마 장치에서 전도성 메쉬를 이용한 라디칼 거동 관찰을 위해서 두 대의 CPM-300을 메쉬 전 후에 설치하여 라디칼의 양 변화를 전류 프로브와 같이 사용하여 조사하였다.
-
Large scale integrated circuits (LSIs) has been improved by the shrinkage of the circuit dimensions. The smaller chip sizes and increase in circuit density require the miniaturization of the line-width and space between metal interconnections. Therefore, an extreme precise control of the critical dimension and pattern profile is necessary to fabricate next generation nano-electronics devices. The pattern profile control of plasma etching with an accuracy of sub-nanometer must be achieved. To realize the etching process which achieves the problem, understanding of the etching mechanism and precise control of the process based on the real-time monitoring of internal plasma parameters such as etching species density, surface temperature of substrate, etc. are very important. For instance, it is known that the etched profiles of organic low dielectric (low-k) films are sensitive to the substrate temperature and density ratio of H and N atoms in the H2/N2 plasma [1]. In this study, we introduced a feedback control of actual substrate temperature and radical density ratio monitored in real time. And then the dependence of etch rates and profiles of organic films have been evaluated based on the substrate temperatures. In this study, organic low-k films were etched by a dual frequency capacitively coupled plasma employing the mixture of H2/N2 gases. A 100-MHz power was supplied to an upper electrode for plasma generation. The Si substrate was electrostatically chucked to a lower electrode biased by supplying a 2-MHz power. To investigate the effects of H and N radical on the etching profile of organic low-k films, absolute H and N atom densities were measured by vacuum ultraviolet absorption spectroscopy [2]. Moreover, using the optical fiber-type low-coherence interferometer [3], substrate temperature has been measured in real time during etching process. From the measurement results, the temperature raised rapidly just after plasma ignition and was gradually saturated. The temporal change of substrate temperature is a crucial issue to control of surface reactions of reactive species. Therefore, by the intervals of on-off of the plasma discharge, the substrate temperature was maintained within
${\pm}1.5^{\circ}C$ from the set value. As a result, the temperatures were kept within$3^{\circ}C$ during the etching process. Then, we etched organic films with line-and-space pattern using this system. The cross-sections of the organic films etched for 50 s with the substrate temperatures at$20^{\circ}C$ and$100^{\circ}C$ were observed by SEM. From the results, they were different in the sidewall profile. It suggests that the reactions on the sidewalls changed according to the substrate temperature. The precise substrate temperature control method with real-time temperature monitoring and intermittent plasma generation was suggested to contribute on realization of fine pattern etching. -
반도체 및 디스플레이 공정용 플라즈마 장치에서 플라즈마 변수를 측정하기 위한 방법들이 많이 개발되어 왔다. 전자 밀도와 온도는 정전 탐침이나 컷오프 프로브 등을 사용하여 활성종이나 중성종에 비해 상대적으로 쉽게 측정할 수 있고, 활성종과 중성종은 LIF (Laser Induced Fluorescence) 방법, OES (Optical Emission Spectrometry) 방법, 그리고 QMS (Quadrupole Mass Spectrometry) 방법 등을 이용하여 측정할 수 있으나 절대적인 크기를 측정할 수 있는 경우는 제한적인 것으로 알려져 있다. 이러한 문제를 극복하기 위해 측정한 전자 밀도와 전자 온도를 기반으로 하여 고려되는 종들의 밀도를 계산할 수 있는 프로그램도 제작된 바 있다. 개발된 프로그램의 입력 값으로 사용되는 플라즈마 화학반응 데이터베이스는 계산 결과의 정확성과 밀접한 관계가 있으며, 이런 이유로 신뢰성 높은 데이터베이스를 확보하기 위한 연구도 진행되었다. 개발된 프로그램을 이용하여 계산한 플라즈마 변수의 장비 변수에 대한 의존성이 진단 데이터와도 잘 부합하는 것으로 확인되었다.
-
Nanotechnology mostly employs nano-materials and nano-structures with distinctive properties based on their size, structure, and composition. It is quite difficult to produce nano-materials and nano-structures with identical sizes, structures, and compositions in large quantities, because of spatiotemporal fluctuation of production processes. In other words, fluctuation is the bottleneck in nanotechnology. We propose three strategies to suppress such fluctuations: employing 1) difference between linear and nonlinear phenomena, 2) difference in time constants, and 3) nucleation as a bottleneck phenomenon. We are also developing nano- and micro-scale guided assembly using plasmas as a plasma nanofabrication.1-5) We manipulate nano- and micro-objects using electrostatic, electromagnetic, ion drag, neutral drag, and optical forces. The accuracy of positioning the objects depends on fluctuation of position and energy of an object in plasmas. Here we evaluate such fluctuations and discuss the mechanism behind them. We conducted in-situ evaluation of local plasma potential fluctuation using tracking analysis of fine particles (=objects) in plasmas. Experiments were carried out with a radio frequency low-pressure plasma reactor, where we set two quartz windows at the top and bottom of the reactor. Ar plasmas were generated at 200 Pa by applying 13.56MHz, 450V peak-to-peak voltage. The injected fine particles were monodisperse methyl methacrylate-polymer spheres of
$10{\mu}m$ in diameter. Fine particles were injected into the reactor and were suspended around the plasma/sheath boundary near the powered electrode. We observed binary collision of fine particles with a high-speed camera. The frame rate was 1000-10000 fps. Time evolution of their distance from the center of mass was measured by tracking analysis of the two particles. Kinetic energy during the collision was obtained from the result. Potential energy formed between the two particles was deduced by assuming the potential energy plus the kinetic energy is constant. The interaction potential is fluctuated during the collision. Maximum amplitude of the fluctuation is 25eV, and the average is 8eV. The fluctuation can be caused by neutral molecule collisions, ion collisions, and fluctuation of electrostatic force. Among theses possible causes, fluctuation of electrostatic force may be main one, because the fine particle has a large negative charge of -17000e and the corresponding electrostatic force is large compared to other forces. -
Among various two-dimensional (2D) materials, 2D semiconductors and insulators have attracted a great deal of interest from nanoscience community beyond graphene, due to their attractive and unique properties. Such excellent characteristics have triggered highly active researches on 2D materials, such as hexagonal boron nitride (hBN), molybdenum disulfide (MoS2), and tungsten diselenide (WSe2). New physics observed in 2D semiconductors allow for development of new-concept devices. Especially, these emerging 2D materials are promising candidates for flexible and transparent electronics. Recently, van der Waals heterostructures (vdWH) have been achieved by putting these 2D materials onto another, in the similar way to build Lego blocks. This enables us to investigate intrinsic physical properties of atomically-sharp heterostructure interfaces and fabricate high performance optoelectronic devices for advanced applications. In this talk, fundamental properties of various 2D materials will be introduced, including growth technique and influence of defects on properties of 2D materials. We also fabricate high performance electronic/optoelectronic devices of vdWH, such as transistors, memories, and solar cells. The device platform based on van der Waals heterostructures show huge improvement of devices performance, high stability and transparency/flexibility due to unique properties of 2D materials and ultra-sharp heterointerfaces. Our work paves a new way toward future advanced electronics based on 2D materials.
-
Shin, Dongha;Park, Jong Bo;Kim, Yong-Jin;Kim, Sang Jin;Kang, Jin Hyoun;Lee, Bora;Cho, Sung-Pyo;Novoselov, Konstantin S.;Hong, Byung Hee 102
Water, which is most abundant in Earth surface and very closely related to all forms of living organisms, has a simple molecular structure but exhibits very unique physical and chemical properties. Even though tremendous effort has been paid to understand this nature's core substance, there amazingly still lefts much room for scientist to explore its novel behaviors. Especially, as the scale goes down to nano-regime, water shows extraordinary properties that are not observable in bulk state. One of such interesting features is the formation of nanoscale bubbles showing unusual long-term stability. Nanobubbles can be spontaneously formed in water on hydrophobic surface or by decompression of gas-saturated liquid. In addition, the nanobubbles can be generated during electrochemical reaction at normal hydrogen electrode (NHE), which possibly distorts the standard reduction potential at NHE as the surface nanobubble screens the reaction with electrolyte solution. However, the real-time evolution of these nanobubbles has been hardly studied owing to the lack of proper imaging tools in liquid phase at nanoscale. Here we demonstrate, for the first time, that the behaviors of nanobubbles can be visualized by in situ transmission electron microscope (TEM), utilizing graphene as liquid cell membrane. The results indicate that there is a critical radius that determines the long-term stability of nanobubbles. In addition, we find two different pathways of nanobubble growth: i) Ostwald ripening of large and small nanobubbles and ii) coalescence of similar-sized nanobubbles. We also observe that the nucleation and growth of nanoparticles and the self-assembly of biomolecules are catalyzed at the nanobubble interface. Our finding is expected to provide a deeper insight to understand unusual chemical, biological and environmental phenomena where nanoscale gas-state is involved. -
열전 발전은 버려지는 폐열을 재사용 가능한 에너지로 전환할 수 있다는 점에서 차세대 청정 에너지원으로 분류되며, 19세기 초 발견된 이래 꾸준히 연구되어온 연구 분야이다. 특히 1990년대 열전소재로의 나노 기술의 접목에 따라 열전성능(figure of merit, ZT)이 2 배 이상 증가 되면서, 고성능의 열전 소재 개발을 위해 나노구조화 연구가 활발히 진행되고 있다. 하지만, 기존의 열전 특성 측정용 상용 장비의 경우, 벌크형 소재를 대상으로 설계되어 연구실 수준에서 개발되고 있는 마이크로미터 스케일의 두께를 가지는 박막형 열전 소재의 두께 방향 (cross-plane)의 열전 특성을 평가하는데 정밀성이 떨어져서 적합하지 않다. 이러한 표준화된 측정 기술의 부재로 인하여 최근 연구되고 있는 나노소재들의 열전 특성 측정 결과를 정확하게 측정하지 못하고 있다 [1] 본 연구에서는 박막형 열전 소재의 열전성능을 평가하는데 가장 중요한 요소인 열전도도를 측정하기 위해 장비를 설계하고, 장비의 측정 능력에 대해 평가하였다. 특히, 측정 포인트 간 큰 온도 차가 발생하여 비교적 쉽게 측정이 가능한 너비 방향 (in-plane) 이 아닌, 온도 차가 작은 박막의 두께 방향의 열전도도를 측정하였다. 그리고 센서의 측정 능력을 평가하기 위해, 폴리이미드를 대상으로
$-10-70^{\circ}C$ 온도 범위에서 측정한 결과와 벌크형 소재 대상으로 신뢰성이 확보된 보호열판법을 이용해 측정한 결과를 비교하였다. -
반도체 산업이 발전하고 기술이 향상됨에 따라 미세화되고 복잡한 구조의 소자가 개발되고 있으며, 2차원 소재 등 다양하고 새로운 소재들이 발견 및 연구되고 있다. 새로운 소재 또는 기술을 이용한 고품질 소자를 개발하기 위해서는 우수한 특성(높은 순도, 우수한 분해 및 반응 특성)을 지닌 증착소재의 개발 및 평가가 선행되어야 한다. 기존의 증착소재의 기본 물성을 측정하는 방법인 단순 기상 Fourier transform infrared spectroscopy(FT-IR) 분석법은 실제 공정에서의 증착경향을 대변하기 어렵다는 단점이 있다. 이러한 단점을 보완하기 위해 개조된 attenuated total reflection (ATR) 액세서리를 이용하여 실제 공정에서의 증착경향을 대변하고자 하였다. 본 연구에서는 반도체 증착소재의 분해 및 표면 흡착 특성을 분석하기 위해 ATR-FTIR 분석법을 이용하여 수행하였으며, 분산안정도에 따른 nanoparticle을 ATR의 크리스탈 표면에 분포시켜 hexamethyldisilazane(HMDS) source의 흡착 효율을 향상시키는 연구를 수행하였다. Nanoparticle의 분산안정도를 높이기 위하여 suspension 상태에서 pH, sonication, 분산제를 이용하였으며, nanoparticle을 ATR crystal 표면에 분포하여 분석한 결과, 분산안정도에 따라 HMDS의 흡착효율이 달라짐을 확인하였다.
-
일반적인 박막 성장용 CVD는 막 성장 시간이 짧게는 수분에서 수시간 정도 소요하기 때문에 장비에 문제가 발생 할 시 조치를 취하고 다음 현상을 개선하기에 용이 하였다. 그리고 대분분의 장비가 국산화되어 있을 만큼 많은 경험치가 축척되어 있다. 그러나 2, 4 족 화합물 성장용 CVD는 고아학 렌즈 생산용 장비로 국내에서는 아직 생소하고 공정 경험이 없는 새로운 장비이다. 2,4 족 화합물의 특징은 다음과 같다. 2,4 족 화합물은 M, N 이라는 두가 물질이 결합하여 형성한다. 2,4 족 화합물은 높은 융점과 낮은 증기압을 갖니다. 이런 물질들은 고온에서 아래와 같이 평형적으로 반응한다.
$$nMN_{(s)}=nM_{(g)}+Nn_{(g)}$$ 화합물인$MN_{(g)}$ 의 상태로 존재할 수 있으나 일바적으로 n=2인 4족 원소의 2원자 분자로된 기체가 지배적이다. 증기상을 이용한 성장 공정에서는 구성 원자나 분자를 만들어내는 단계, 이들을 공급원에서 기판까지 수송하는 단계, 기판 위에 흡착하는 단계, 핵의 생성과 단결정을 생성하는 단계, 필요치 않는 구성물을 제거하는 단계를 거쳐 공정이 진행 된다. 각 공정은 성장 물질에 충분한 자유도를 주어야하고 자유도를 주기 위해서는 많은 열에너지가 공급 되어야 한다. 따라서 기존의 박막 성장 공정 보다 성장 속도가 느리고 증착하는 양보다는 버리는 양이 많으며 버려지는 성장물질들은 급격한 온도 변화가 생기는 곳에서 급격히 증착하기 시작한다. 본 성장 공정이 진행되는 압력은 30 torr 부근이며 공정 온도는$1000^{\circ}C$ 부근이다. 30 torr 영역에서는 열전달이 대기압과 같은 속도로 진행되기 때문에 지속적으로 온도에의해 손상을 받는 부위가 있을 수 있다. 높은 공정 온도와 높은 공정 압력은 내부 구조물로 발생된 열을 빠르게 장비 표면으로 수송하게 되고 그 결과 장비의 연결 부분에 장착된 오링에 손상을 주게 된다. 오링 손상을 방지 하기위해 냉각수 라인을 형성하여 오링을 보호하게 되면 열역학적 기울기가 급격히 발생하는 부분이므로 CVD의 반응 부산물들이 빠른 시간동안 증착하게 되고 막히는 현상이 발생하게 된다. 목표한 두게까지 박막을 성장시키기 위해서는 장시간 공정이 필수이며 장시간 공정을 안정적으로 가져가지 위해서는 배기 라인의 막힘 현상을 해결하여야 한다. 본 논문에서는 막힘 현상의 진행을 시간에 따라 해석하였으며 장시간 공정을 진행하기위해 필요한 요소와 기구적으로 조치가 가능한 방법에 대해 작성하였다. -
가속기 진공시스템은 대형 초고진공장치로 운전 중 10-9 mbar 유지를 목표로 하고 있다. 이 같은 수준의 초고진공은 배기시스템을 적절히 설계하고 베이크아웃을 함으로서 얻을 수가 있다. 베이크아웃은 보통 1~3일 소요되므로 운전 중 빠른 복원을 힘들게 하는 원인중 하나이므로 최근에는 베이크아웃 하지 않도록 설계하는 추세이다. 이 연구에서는 네그펌프-이온펌프를 조합하여 설계하고 베이크아웃하지 않으면서 초고진공을 원하는 시간 내에 달성 하도록 목표로 하고 있다. 이 발표에서는 대형 이온펌프를 사용하고 베이크아웃하는 전통적인 방법과 조합펌프를 사용했을 때 진공배기를 비교 실험하여 그 결과를 보고하고자 한다.
-
타 디스플레이의 역사에 비하여 상대적으로 짧은 기간 내 빠른 기술적인 성장을 거듭한 OLED 기술은 향후 디스플레이는 물론 조명시장까지 잠재적인 큰 시장을 갖고 있다. 따라서 이러한 시장을 확보하기 위해서 지속적인 기술 개발이 필요한 실정이다. 본 논문에서는 OLED 제조공정 중 챔버를 진공상태로 유지하기 위해 챔버 단독 또는 챔버와 챔버 사이에 설치되는 진공밸브 관련 기술의 특허 현황분석을 통해 중복연구와 기술 침해로 인한 문제점을 미연에 방지하고 향후 연구방향을 설정하는데 있어 선행 기술 자료로 활용하고자 한다.
-
Understanding adsorption behavior organic molecules at oxide surfaces is very important for the application of organic-inorganic hybrid materials. Recently, monoethanolamine (MEA) adsorbed on
$TiO_2$ surface has received great interests because it can lower the work function of$TiO_2$ in photo-electronic devices such as OLED and solar cells. In this study, we investigated the role of surface defects in adsorption behaviors of MEA at the rutile$TiO_2$ (110) surface by combined study of scanning tunneling microscopy and density functional theory calculations. Our results revealed that oxygen vacancy is the most stable adsorption site for MEA on$TiO_2$ (110) surface at low coverage. As coverage increases, the oxygen vacancies are occupied with the molecules and MEA molecules start to adsorb at Ti rows at higher coverages. Our results show that the defects at oxide surfaces and the intermolecular interactions are important factors for determining stable adsorption structure of MEA at$TiO_2$ (110) surfaces. -
An, Sehoon;Lee, Geun-Hyuk;Jang, Seong Woo;Hwang, Sehoon;Yoon, Jung Hyeon;Lim, Sang-Ho;Han, Seunghee 129
Graphene, as a single layer of$sp^2$ -bonded carbon atoms packed into a 2D honeycomb crystal lattice, has attracted much attention due to its outstanding properties. In order to synthesize high quality graphene, transition metals, such as nickel and copper, have been widely employed as catalysts, which needs transfer to desired substrates for various applications. However, the transfer steps are not only complicated but also inevitably induce defects, impurities, wrinkles, and cracks of graphene. Furthermore, the direct synthesis of graphene on dielectric surfaces has still been a premature field for practical applications. Therefore, cost effective and concise methods for transfer-free graphene are essentially required for commercialization. Here, we report a facile transfer-free graphene synthesis method through nickel and carbon co-deposited layer. In order to fabricate 100 nm thick NiC layer on the top of$SiO_2/Si$ substrates, DC reactive magnetron sputtering was performed at a gas pressure of 2 mTorr with various Ar :$CH_4$ gas flow ratio and the 200 W DC input power was applied to a Ni target at room temperature. Then, the sample was annealed under 200 sccm Ar flow and pressure of 1 Torr at$1000^{\circ}C$ for 4 min employing a rapid thermal annealing (RTA) equipment. During the RTA process, the carbon atoms diffused through the NiC layer and deposited on both sides of the NiC layer to form graphene upon cooling. The remained NiC layer was removed by using a 0.5 M$FeCl_3$ aqueous solution, and graphene was then directly obtained on$SiO_2/Si$ without any transfer process. In order to confirm the quality of resulted graphene layer, Raman spectroscopy was implemented. Raman mapping revealed that the resulted graphene was at high quality with low degree of$sp^3$ -type structural defects. Additionally, sheet resistance and transmittance of the produced graphene were analyzed by a four-point probe method and UV-vis spectroscopy, respectively. This facile non-transfer process would consequently facilitate the future graphene research and industrial applications. -
Bioinspired hierarchical nanostructures for self-cleaning s-tnwjurface and SERS substrates are investigated. The multi-level hierarchy is combined with CuO nanowire and additional nanoscale structures. CuO nanowire, which has extremely high aspect ratio, serves as a base structure of multi-level hierarchy and additional flower like structures are placed on the CuO nanowires. Since as-fabricated CuO nanostructures are hydrophilic, the surface is coated with perfluorooctyltrichlorosilane in order to change its wetting property to hydrophobic. While those CuO based nanostructures have a sufficient roughness for superhydrophobic characteristics, hierarchical nanoflowers on nanowire structures lead to a self-cleaning surface. Furthermore, flower like nanostructures provide reentrant curvatures, thus enabling oleophobic property. The surfaces has a repellency even for a tiny droplet (10 nL) of low surface tension liquids (~35 mN/m). On the on hands, nanoflowers provide many number of nanoscale gaps. After a thin layer of silver is deposited on the surface of CuO nanostructures, those nanoscale gaps act as hot-spot for surface enhanced Raman scattering (SERS). To analyze SERS enhancement of the surfaces, Raman shift is measured with varying molar density of 4-Mercaptopyridine from mM to pM. From these results, hierarchical CuO nanostructures are suitable for self-maintenance and cost effective SERS sensing applications.
-
기원전 5000년 이집트에서부터 시작된 도금은 시간이 지남에 따라 점점 발전하여, 1900년대에 들어 전기를 이용한 도금공정이 개발되었고, 현재 뿌리산업으로써 각종 제조업에 널리 이용되고 있다. 도금 공정은 금속을 부식으로부터 보호하고, 제품의 심미성과 기능성, 생산성 등을 높이기 위해 주로 이용된다. 전주도금 공정은 완벽하게 동일한 형태의 생산품을 다량으로 제작 할 수 있기 때문에, 그 높은 생산성으로 주목 받고 있다. 특히, 나노/마이크로 크기의 정밀 소자 등을 가공하는 차세대 기술인 LIGA공정과 접목이 가능하다는 장점이 있다. 몰드를 이용하여 복제하는 방식인 전주 도금은 도금공정이 끝난 후 몰드와 완성된 제품을 분리해내는 추가공정이 필연적으로 발생하게 되는데, 둘 사이의 접착력을 낮추기 위하여 몰드의 표면에 이형박리제를 도포하게 된다. 이형박리제로는 전기가 잘 흐르면서 접착력이 낮은 이산화 셀렌이나 중크롬산이 주로 이용되지만, 원활한 박리를 위해서는 그 두께가 30 um 이상 확보되어야 하기 때문에 정밀한 미세구조 전주도금이 어렵다는 문제점이 있다. 또한 이와 같은 화학 약품들은 매우 유독하기 때문에 추가적인 폐수 처리 공정이 필요하며, 작업자의 안전을 위협하고 심각한 환경 오염을 초래한다는 추가적인 문제가 발생한다. 따라서, 매우 얇고 친 환경적이며 안전한 전주도금 이형박리제에 대한 연구가 요구되고 있다. 본 연구에서는 전주도금 몰드로 사용한 구리의 표면에 TCVD를 이용하여 단일 층 그래핀을 성장시킨 후, 그래핀이 코팅된 몰드에 구리를 전주도금하여 박리하였다. 박리 후 그래핀은 몰드에 손상 없이 남아있는 것을 Raman microscopy를 통해서 확인하였고, 몰드와 그래핀 사이의 접착력 (약
$0.71J/m^2$ )에 비해 그래핀과 전주도금 샘플간에 낮은 접착력 (약$0.52J/m^2$ )을 갖는 것을 확인하였다. 이와 같이 낮은 접착력을 통해 박리 시 표면구조의 손상 없이 정밀한 구조의 미세 패턴구조를 형성할 수 있었다. 전주도금을 이용한 전극 형성과 고분자와의 융합을 통해 유연기판을 제작하여 bending 실험을 진행하였다.$90^{\circ}$ 의 bending 각도로 10000회 이하에서는 저항의 변화가 없었고, LED chip을 mounting한 후 곡률반경 4.5 mm까지 bending을 진행하여도 이상 없이 LED가 발광하는 것을 확인하였다. 위와 같은 전주도금 공정을 이용하여 고집적 전자기기, 광학기기, 센서기기 등의 다양한 어플리케이션의 부품제조에 활용될 수 있을 것으로 기대한다. -
기계 가공품의 정밀화, 경량화 요구로 난색재로 분류되는 비철분야 및 복합재 가공용 공구개발에 대한 수요가 급증하고 있으나, 기존 난삭재 가공 시 절삭공구의 마모가 빠르고, 상대재의 융착 불량 등이 공구 수명 감소의 주요 영향으로 보고된다. 상기문제를 해결하기 위해 절삭가공 공정 중 과다한 절삭유의 사용에 따른 가공비용, 에너지소모 증가, 환경오염 등으로 절삭유의 최소화 또는 절삭유를 사용하지 않는 표면처리기술등의 친환경 가공기술의 개발이 필요하다. 내융착 및 내마모 특성 향상을 위한 표면코팅 방법으로 수소가 포함되지 않은 고경도 비정질 카본 (ta-C)이 있으나, ta-C 코팅 막은 경도 30 - 80 GPa, 잔류응력 3 - 10 GPa 범위로 일반 경질 코팅 막 (AlTiN, TiSiCrN : 평균 3 GPa)에 비해 높고 산업적 활용이 가능한 0.5 - 1.5 um 두께 수준의 후막화가 힘들어 매우 우수한 절삭공구용 코팅 막 특성에도 불구하고 적용사례가 매우 적다. 따라서, 본 연구에서는 아크플라즈마 방식 (Filtered Cathode Vacuum Arc Plasma, FCVA)을 활용한 고경도/무수소 카본 코팅 막을 후막형태로 증착하여 비철금속가공용 절삭 공구류의 수명향상 기법을 제시하고자 한다. ta-C 코팅 막의 기초 공정개발 단계에서는 바이어스 전압, 공정시간을 달리하여 ta-C 코팅 막의 기계적 물성(경도:
$50{\pm}3GPa$ , 잔류응력:$6{\pm}1GPa$ , 밀착력: 30N 이상 및 트라이볼로지 특성: 마찰계수 0.1 이하, 마멸량:$1.85{\times}10-14mm^3$ )을 확보하여 절삭공구로의 공정실용화 적용검토를 실시하였다. ta-C 코팅 막은 (1) WC 공구 및 기존 상용품인 (2) TiAlN/TiN/WC 구조에 대해 증착을 실시하였으며 코팅 막의 두께 변화에 따른 실제 절삭환경에서의 내수명 관측을 진행하였다. 시험결과, ta-C/WC의 단일막 구조인 절삭공구의 경우, 실제 절삭환경에서 쉽게 박리가 발생하여 코팅 막으로서의 효과를 나타내지 못하였다. 이는, 기초 공정개발 단계에서의 밀착력 기준이 실제 환경과 부합하지 않는 것을 의미하며 추후 공정개선을 통해 극복하고자 한다. 반면에, 상용품인 (2) TiAlN/TiN/WC 구조의 절삭공구 대비 ta-C/TiAlN/TiN/WC 구조에서 내수명 증가는 약 2.5배 (기존 300회, 코팅 후 800회)로 증가하였으며 ta-C 코팅 막의 두께가$0.6-0.8{\mu}m$ 일 때 최대치를 취한 후 감소하였다. 이를 통해, 절삭공구로의 ta-C 코팅 막 효과는 최외각 층의 두께 범위와 모재 강도보강을 할 수 있는 적절한 중간층 막 (TiN/TiAlN 층)이 혼합되어 나타난 것으로 사료되며 현재 산업계로의 적용을 위한 대량생산용 코팅장비의 개발 및 비용절감을 위한 공정개발이 진행 중이다. -
CMC(Ceramic Matrix Composites)는
$1500^{\circ}C$ 이상의 고온에서 내열성, 내산화성, 내식성이 우수하여, 초음속 비행체, 가스터빈 엔진 및 원자로용 초고온 부품 등에 수요가 증가하고 있다. 하지만 이러한 특성은 비산소 환경에 국한되는 것으로 약$400^{\circ}C$ 이상의 산화 분위기에는 탄소섬유가 산화되는 문제로 인하여 적용의 한계를 가지고 있다. 따라서 CMC의 적용범위 확대를 위하여 내산화 코팅으로 CMC의 초고온 산화특성을 개선하는 것이 필수적이며, 장시간 초고온 산화환경 분위기에서 사용되기 위하여 안정적인 코팅기술이 최근 기술개발의 핵심현안으로 부각되고 있다. 본 연구에서는 pack cementation 공정을 이용하여 내산화성이 우수한 SiC 코팅층을 제조하였다. Pack cementation 공정에 사용된 코팅 분말은 57wt.% SiC, 30wt.% Si, 3wt.% B, 10wt.% Al2O3의 비율로 혼합된 것이다. 실험은 3D 직조된 CMC 모재를 혼합분말 내에 침적한 후, Ar 분위기에서$1600^{\circ}C$ , 4~12시간 반응시켜 수 마이크론 두께의 SiC 코팅층을 형성하였다. 더 우수한 산화 특성을 부여하기 위하여 pack 처리된 CMC 표면에 초고온 세라믹인 TaC 소재를 진공플라즈마 코팅 공정으로 적층시켰다. 제조된 코팅층을 SEM, XRD를 이용하여 미세구조 및 결정구조를 분석하였으며, pack cementation에 따른 내산화 특성을 비교 분석하고자$2000^{\circ}C$ 에서 산화 실험을 진행하였다. 산화 실험 이후 미세구조 및 결정구조 분석으로 산화거동을 규명하고자 하였다. -
차세대 가스터빈 엔진 및 초음속 항공기 내 고온부의 온도가 증가함에 따라, 기존의 초내열합금 기반 소재를 사용하기 어려워지고 있다. 초고온 세라믹스는 높은 기계적 물성, 화학적 안정성 등 우수한 고온 특성을 가지고 있어 기존의 초고온 소재를 대체 할 수 있는 물질로 부상되고 있다. 하지만 기존의 금속 기반 소재 대비 높은 밀도로 인하여 초고온 세라믹 단일체를 비행체 부품에 적용하기에는 어려움이 있다. 이에 초고온 세라믹스와 탄소섬유를 포함하는 세라믹 복합체(Ceramic Matrix Composite, CMC)를 제작하여 동등한 기계적 물성을 보이면서 무게를 감소시키는 연구들이 진행 중에 있다. 초고온 세라믹스가 함침 된 세라믹 복합체의 경우 우수한 내삭마, 내산화 특성을 보이지만, 장시간 고온에 노출되어 탄소 섬유가 드러나게 되면 급격한 산화로 인해 소재 특성의 열화가 진행되는 단점을 가지고 있다. 따라서, 탄소 섬유가 드러나지 않도록 복합체 표면에 코팅층을 형성하여 세라믹 복합체 모재를 보호하는 방법이 활발히 연구되고 있다. 본 연구에서는 진공 플라즈마 용사 공정을 이용하여 다양한 공정조건 하에서 초고온 세라믹 코팅층을 형성하였다. 수십 마이크론 크기 분포를 갖는 HfC 분말을 Ar 유송 가스를 이용하여 플라즈마 화염 내부로 투입하였다. 플라즈마 화염 가스는 Ar 과 H2를 혼합하여 구성되었으며, 분위기 가스로는 N2를 사용하였다. 코팅에 사용된 모재로는 ZrB2 단일체와 SiC가 미량 포함된 HfC 단일체를 사용하였다. 다양한 공정 조건하에서 형성된 HfC 코팅층의 두께, 미세 조직구조를 SEM을 이용하여 관찰하였으며, XRD를 이용하여 형성된 HfC 코팅층의 결정구조를 분석하였다.
-
Choi, Hyun Ji;Lee, Yong-Min;Lee, Yulhee;Seo, Hyeon Jin;Hwang, Ki-Hwan;Kim, Dong In;Yu, Jung-Hoon;Kim, Jee Yun;Nam, Sang Hun;Boo, Jin-Hyo 146
Zinc Oxide (ZnO) was known as a promising material for surface acoustic wave devices, gas sensors, optical devices and solar cells due to piezoelectric material, large band gap of 3.37 eV and large exciton binding energy of 60 meV at room temperature. In particular, the alignment of ZnO nanostructures into ordered nanoarrays can bring about improved sensitivity of devices due to widen the surface area to catch a lot of gas particle. Oxygen plasma treatment is used to specify the nucleation site of round patterned ZnO nanorods growth. Therefore ZnO nanorods were grown on a quartz substrate with patterned polystyrene monolayer by hydrothermal method after oxygen plasma treatment. And then, we carried out nanostructures by adjusting the diameter of the arranged ZnO nanorods according to polystyrene spheres of various sizes. The obtained ZnO nanostructures was characterized by X-ray diffraction (XRD), Field emission scanning electron microscopy (FE-SEM). -
Ceramic is widely known material due to its outstanding mechanical property. Besides, Zirconia(ZrO2) has a low thermal conductivity so it is advantage in a heat insulation. Because of these superior properties, ZrO2 is attracted to many fields using ultra high temperature for example vehicle engines, aerospace industry, turbine, nuclear system and so on. However brittle fracture is a disadvantage of the ZrO2. In order to overcome this problem, we can make the ceramic materials to the forms of ceramic nanoparticles, ceramic nanowhiskers and these forms can be used to an agent of composite materials. In this work, we selected Au catalyzed Vapor-Liquid-Solid mechanism to synthesize ZrO2 nanowhiskers. The ZrO2 whiskers are grown through Hot-wall Chemical Vapor Deposition(Hot wall CVD) using ZrCl4 as a powder source and Au film as a catalyst. This Hot wall CVD method is known to comparatively cost effective. The synthesis condition is a temperature of
$1100^{\circ}C$ , a pressure of 760torr(1atm) and carrier gas(Ar) flow of 500sccm. To observe the morphology of ZrO2 scanning electron microscopy is used and to identify the crystal structure x-ray diffraction is used. -
Mankind is enjoying a great convenience of their life by the rapid growth of secondary industry since the Industrial Revolution and it is possible due to the invention of huge power such as engine. The automobile which plays the important role of industrial development and human movement is powered by the Engine Module, and especially Diesel engine is widely used because of mechanical durability and energy efficiency. The main work mechanism of the Diesel engine is composed of inhalation of the organic material (coal, oil, etc.), combustion, explosion and exhaust Cycle process then the carbon compound emissions during the last exhaust process are essential which is known as the major causes of air pollution issues in recent years. In particular, COx, called carbon oxide compound which is composed of a very small size of the particles from several ten to hundred nano meter and they exist as a suspension in the atmosphere. These Diesel particles can be accumulated at the respiratory organs and cause many serious diseases. In order to compensate for the weak point of such a Diesel Engine, the DPF(Diesel Particulate Filter) post-cleaning equipment has been used and it mainly consists of ceramic materials(SiC, Cordierite etc) because of the necessity for the engine system durability on the exposure of high temperature, high pressure and chemical harsh environmental. Ceramic Material filter, but it remains a lot of problems yet, such as limitations of collecting very small particles below micro size, high cost due to difficulties of manufacturing process and low fuel consumption efficiency due to back pressure increase by the small pore structure. This study is to test the possibility of new structure by direct infiltration of SiC Whisker on Carbon felt as the next generation filter and this new filter is expected to improve the above various problems of the Ceramic DPF currently in use and reduction of the cost simultaneously. In this experiment, non-catalytic VS CVD (Vapor-Solid Chemical Vaporized Deposition) system was adopted to keep high mechanical properties of SiC and MTS (Methyl-Trichloro-Silane) gas used as source and H2 gas used as dilute gas. From this, the suitable whisker growth for high performance filter was observed depending on each deposition conditions change (input gas ratio, temperature, mass flow rate etc.).
-
We studied the effect of the silver grid size on graphene transparent conducting films for flexible organic solar cells (OSCs). The silver grid was used an assistant layer of the graphene to reduce the sheet resistance of substrates. Silver grid with various graphene sizes for optimizing transmittance and sheet resistance of substrates were fabricated on polyethylene terephthalate (PET) substrates to form the hybrid films. The optimized grid geometry on the single layer graphene (SLG) was the grid dimension
$200{\mu}m{\times}200{\mu}m{\times}50nm{\times}2{\mu}m$ (length${\times}$ width${\times}$ height${\times}$ linewidth), where the sheet resistance was$55.73{\Omega}/square$ with the average transmittance of ~ 92.83 % at 550 nm. The properties of the OSCs fabricated using SLG with optimized silver grids on PET substrates show a short circuit current of$10.9mA/cm^2$ , an open circuit voltage of 0.58 V, a fill factor of 60.8 %, and a power conversion efficiency (PCE) of 3.9 %. The PCE was improved about 91% than that of the OSCs using the SLG without the silver grid. These results demonstrate that the optimized grid geometry to the based on the graphene transparent electrodes contribute to improving the performance of OSCs. -
Polyoxymethylene copolymer (POM-C) is an attractive and widely used engineering thermoplastic across many industrial sectors owing to outstanding physical, mechanical, self-lubricating and chemical properties. In this research work, the POM-C blocks were irradiated with 1 MeV electron beam energy in five doses (100, 200, 300, 500 and 700 KGy) in vacuum condition at room temperature. The tribological and physico-chemical properties of electron beam irradiated POM-C blocks have been analyzed using Pin on disk tribometer, Raman spectroscopy, SEM-EDS, Optical microscopy, 3D Nano surface profiler system and Contact angle analyzer. Electron beam irradiation at a dose of 100 kGy resulted in a decrease of the friction coefficient and wear loss of POM-C block due to well suited cross-linking, carbonization, free radicals formation and energetic electrons-atoms collisions (physical interaction). It also shows lowest surface roughness and highest water contact angle among all unirradiated and irradiated POM-C blocks. The irradiation doses at 200, 300, 500 and 700 kGy resulted in increase of the friction coefficient as compared to unirradiated POM-C block due to severe chain scission, chemical and physical structural degradation. The electron beam irradiation transferred the wear of unirradiated POM-C block from the abrasive wear, adhesive wear and scraping to mild scraping for the 1 MeV, 100 kGy irradiated POM-C block which is concluded from SEM-EDS and Optical microscopic observations. The degree of improvement for tribological attribute relies on the electron beam irradiation condition (energy and dose rate).
-
최근 증가하고 있는 플렉서블 기기제작을 위한 플렉서블 전극으로 금속메쉬, 그래핀, 은나노선을 사용한 전극이 제안되었으나 복잡한 공정 및 안정성 문제로 인해 다양한 나노복합구조를 적용하여 단점을 개선하기 위한 연구가 진행되고 있다. 은나노선 전극은 특히 공정이 단순하고 투과도 및 전도도가 비교적 우수하며 기판의 휘어짐에도 특성변화가 가장 작아 플렉서블 전극의 가장 강력한 후보재료로 알려져 있다. 그러나 은나노선 전극은 구조적으로 전극표면에 고르게 분포하지 못하기 때문에 전극의 표면거칠기가 매우 커지고 투과되는 빛과 간섭하여 헤이즈가 발생되는 문제를 가지고 있다. 특히 플렉서블 OLED용 전극으로 응용시 화면의 선명도가 떨어지며 은나노선 네트워크의 접촉저항이 증가하고 큰 표면거칠기로 인해 수명이 감소하는 문제를 가지고 있다. 이러한 문제를 해결하기 위해 본 연구에서는 은나노선 전극에 산화그래핀 처리를 통해 나노복합구조를 형성하고 플렉서블 기판에 전사하는 방법을 통해 투명 전극을 형성하였다. 주사전자현미경 측정을 통해 산화그래핀 플레이크와 은나노선 전극의 구조적 특성을 조사하였고 면저항측정을 통해 산화그래핀 처리공정 조건에 따라 전기적 특성이 개선되는 결과를 확인하였다. 은나노선 전극의 전도도 개선의 원인을 조사하기 위해 라만, XPS, 투과도 측정결과를 분석하였다. XPS 분석결과 은나노선과 그래핀의 나노복합구조 형성을 통해 산화그래핀에 포함된 pyridinic 질소가 감소하고 quaternary 질소가 증가하였다. 이는 산화그래핀의 내부 defect sites에 질소결합이 증가되었음을 의미하고 이로인해 산화그래핀에 부분적인 전도경로가 형성되어 은나노선의 전도특성을 개선되었다. 투과도 측정을 통해 은나노선의 가로방향 플라즈몬 공명 흡수가 산화그래핀 처리에 의해 감소하였고 이로 인해 은나노선 전극의 투과도가 산화그래핀 처리에 의해 개선되는 결과를 확인하였다. 은나노선 전극에 대해 산화그래핀 처리를 통해 나노복합구조 형성에 대한 연구는 은나노선 플렉서블 전극 개발을 가속화하고 잠재적인 응용분야를 확대하기 위한 원천지식을 제공할 것이다.
-
최근 유연정보전자소자의 개발이 대두되고 있다. 이러한 개발 동향에 맞춰 정보전자소자의 각 소재를 유연화하는 연구가 진행되고 있다. 이 중 ITO 기반의 기존 투명전극은 투명전극으로써는 매우 높은 성능을 보이지만, 유연성이 매우 낮기 때문에 대체 투명전극에 대한 연구가 필수적이다. 그래핀, 전도성 고분자, Oxide/metal/oxide, 금속나노와이어 등 다양한 유연 투명전극에 대한 연구가 진행되고 있으나 ITO 급의 면저항/투과도를 얻지 못하고 있다. 은나노와이어는 ITO 대체로 주목받는 투명전극 중에 면저항/투과도가 가장 ITO에 유사하면서, 유연성까지 지니고 있는 장점을 가지고 있다. 반면 약 100 nm 직경의 1차원 나노와이어가 랜덤하게 분포되어 있기 때문에, 위치별로 균일성에 대한 이슈가 존재하고, 표면 조도가 매우 높기 때문에 (ITO ~ 1 nm, AgNW > 20 nm) OLED에 적용하기 어려운 문제가 존재한다. 또한 대면적 OLED에 적용하기에는 여전히 저항이 높은 문제가 존재한다. 본 연구에서는 이러한 은나노와이어의 높은 저항 문제를 해결하기 위해, 마이크로 급의 미세금속배선을 보조배선으로 도입하였다. 이러한 보조배선을 통해 대면적 소자에도 전류가 잘 흐를 수 있고, 이러한 전류가 은 나노와이어를 통해 소자 전면적에 균일하게 도달하여, 대면적에서 균일한 발광을 하게 된다. 본 은나노와이어/금속보조배선 구조는 면저항 4 ohm/sqr., 투과도 90%를 달성하였고 이는 기존 ITO보다 우수한 수치이다. 더욱이, 유연성까지 함께 확보하고 있어 유연 전극으로써의 활용도 충분히 가능하다. 이를 활용해 OLED를 제작한 결과 밝기와 발광균일도가 기존의 ITO를 활용한 것보다 훨씬 높아짐을 확인할 수 있었다.
-
Vertically-aligned carbon nanotubes (VCNT) have attracted much attention due to their unique structural, mechanical and electronic properties, and possess many advantages for a wide range of multifunctional applications such as field emission displays, heat dissipation and potential energy conversion devices. Surface modification of the VCNT plays a fundamental role to meet specific demands for the applications and control their surface property. Recent studies have been focused on the improvement of the electron emission property and the structural modification of CNTs to enable the mass fabrication, since the VCNT considered as an ideal candidate for various field emission applications such as lamps and flat panel display devices, X-ray tubes, vacuum gauges, and microwave amplifiers. Here, we investigate the effect of surface morphology of the VCNT by water vapor exposure and coating materials on field emission property. VCNT with various height were prepared by thermal chemical vapor deposition: short-length around
$200{\mu}m$ , medium-length around$500{\mu}m$ , and long-length around 1 mm. The surface morphology is modified by water vapor exposure by adjusting exposure time and temperature with ranges from 2 to 10 min and from 60 to 120oC, respectively. Thin films of SiO2 and W are coated on the structure-modified VCNT to confirm the effect of coated materials on field emission properties. As a result, the surface morphology of VCNT dramatically changes with increasing temperature and exposure time. Especially, the shorter VCNT change their surface morphology most rapidly. The difference of field emission property depending on the coating materials is discussed from the point of work function and field concentration factor based on Fowler-Nordheim tunneling. -
Oh, Donghyun;Jeon, Minhan;Kang, Jiwoon;Shim, Gyeongbae;Park, Cheolmin;Lee, Youngseok;Kim, Hyunhoo;Yi, Junsin 160
In this study, we applied the low temperature curing Ag paste to replace PVD System. The electrode formation of low temperature curing Ag paste for silicon Hetero-junction solar cells is important for improving device characteristics such as adhesion, contact resistance, fill factor and conversion efficiency. The low temperature curing Ag paste is composed various additives such as solvent, various organic materials, polymer, and binder. it depends on the curing temperature conditions. The adhesion of the low temperature curing Ag paste was decided by scratch test. The specific contact resistance was measured using the transmission line method. All of the Ag electrodes were experimented at various curing temperatures within the temperature range of$160^{\circ}C-240^{\circ}C$ , at$20^{\circ}C$ intervals. The curing time was also changed by varying the conditions of 10-50min. In the optimum curing temperature$200^{\circ}C$ and for 20 min, the measured contact resistance is$19.61m{\Omega}cm^2$ . Over temperature$240^{\circ}C$ , confirmed bad contact characteristic. We obtained photovoltaic parameter of the industrial size such as Fill Factor (FF), current density (Jsc), open-circuit voltage (Voc) and convert efficiency of up to 76.2%, 38.1 mA/cm2, 646 mV and 18.3%, respectively. -
We present multifunctional indium tin oxide (ITO) thin films formed at room temperature by a normal sputtering system equipped with a plasma limiter which effectively blocks the bombardment of energetic negative oxygen ions (NOIs). The ITO thin film possesses not only low resistivity but also high gas diffusion barrier properties even though it is deposited on a plastic substrate at room temperature without post annealing. Argon neutrals incident to substrates in the sputtering have an optimal energy window from 20 to 30 eV under the condition of blocking energetic NOIs to form ITO nano-crystalline structure. The effect of blocking energetic NOIs and argon neutrals with optimal energy make the resistivity decrease to
$3.61{\times}10-4{\Omega}cm$ and the water vapor transmission rate (WVTR) of 100 nm thick ITO film drop to$3.9{\times}10-3g/(m2day)$ under environmental conditions of 90% relative humidity and 50oC, which corresponds to a value of ~ 10-5 g/(m2day) at room temperature and air conditions. The multifunctional ITO thin films with low resistivity and low gas permeability will be highly valuable for plastic electronics applications. -
BDD(Boron Doped Diamond) 전극은 전위창이 넓고, 다른 불용성 전극에 비해 산소발생과전압이 높아 물을 전기화학적인 방법으로 처리하는 영역에 있어 매우 효과적일 뿐만 아니라, 전통적인 불용성 전극에 비해 전극 표면에서 수산화 라디칼(-OH)과 오존(O3)의 발생량이 월등히 높아 수처리용 전극으로서의 유용성이 매우 높다. 따라서 BDD 전극을 수처리용 전극에 사용하는 경우 수산화 라디칼(-OH)과 오존(O3), 과산화수소(H2O2) 등과 같은 산화제의 생성은 물론이고, 염소(Cl2)가 포함되어 있는 전해액에서는 차아염소산(HOCl)이나 차아염소산이온(OCl-)과 같은 강력한 산화제가 발생되어 전기화학적 폐수처리, 전기화학적 정수처리, 선박평형수 처리 등의 분야에 널리 활용될 수 있다. 본 연구에서는 상온 및 상압에서 운전이 가능하고 난분해성 오염물질 제거 효과가 뛰어난 전기화학적 고도산화공정(Electrochemical Advanced Oxidation Process, EAOP)에 적합한 대면적의 BDD 전극을 개발하고 자 하였다. 이러한 BDD 전극의 성막 방법으로는 필라멘트 가열 CVD, 마이크로파 플라즈마 CVD, DC 플라즈마 CVD 등이 널리 알려져 있는데 최근에는 설비의 투자비가 비교적 저렴하고, 대면적의 기판처리가 용의한 필라멘트 가열 화학기상증착법(Hot Filament Chemical Vapor Deposition, HFCVD)이 상업적으로 각광을 받고 있다. 따라서 본 연구에서는 HFCVD 방법을 이용하여 반응 가스의 투입비율, BDD 박막의 두께, 기판의 재질 등에 따른 여러 가지 성막 조건들을 검토하여
$100{\times}100mm$ 이상의 대면적 BDD 전극을 개발하였다. Fig. 1은 본 연구를 통하여 얻어진 BDD 전극의 표면 및 단면 SEM이다. -
In this paper, we report electrical, optical and structural properties of Al-doped zinc oxide (AZO) thin films deposited at different substrate temperatures and pressures. The films were prepared by radio frequency (RF) magnetron sputtering on glass substrates in argon (Ar) ambient. The X-ray diffraction analysis showed that the AZO films deposited at room temperature (RT) and 20 Pa were mostly oriented along a-axis with preferred orientation along (100) direction. There was an improvement in resistivity (
$3.7{\times}10^{-3}{\Omega}-cm$ ) transmittance (95%) at constant substrate temperature (RT) and working pressure (20 Pa) using the Hall-effect measurement system and UV-vis spectroscopy, respectively. Our results have promising applications in low-cost transparent electronics, such as the thin-film solar cells and thin-film transistors due to favourable deposition conditions. Furthermore our film deposition method offers a procedure for preparing highly oriented (100) AZO films. -
Dual-beam experiments (Focused ion beam - Orientation mapping microstructure, FIB-OIM) is a widely used experimental tool because this experiments tool available alternates between automated serial sectioning and EBSD with the help of dual beams. We investigated the reconstruction procedure for analysis tool which three-dimensional internal microstructure using Ni superalloy(IN100) and ZrO2. As a results, we observed annealing twin boundary each layer in Ni superalloy(IN100) and fairly isotropic internal microstructure in ZrO2 using marching cubes algorithm. According to these results, this procedure is reconstructed well and we gained ability to arrange the EBSD map and internal microstructure.
-
In the last few decades, attention toward atmospheric pressure plasma (APP) has been greatly increased due to the numerous advantages of those applications, such as non-necessity of high vacuum facility, easy setup and operation, and low temperature operation. The practical applications of APP can be found in a wide spectrum of fields from the functionalization of material surfaces to sterilization of medical devices. In the secondary battery industry, separator film has been typically treated by APP to enhance adhesion strength between adjacent films. In this process, the plasma is required to have high stability and uniformity for better performance of the battery. Dielectric barrier discharge (DBD) was usually adopted to limit overcurrent in the plasma, and we developed the pre-discharge technology to overcome the drawbacks of streamer discharge in the conventional DBD source which makes it possible to produce a super-stable plasma at atmospheric pressure. Simulations for the fluid flow and electric field were parametrically performed to find the optimized design for the linear jet plasma source. The developed plasma source (Plasmapp LJPS-200) exhibits spatial non-uniformity of less than 3%, and the adhesion strength between the separator and electrode films was observed to increase 17% by the plasma treatment.
-
Every display is equipped with a cover glass to protect the underneath displaying devices from mechanical and environmental impact during its use. The strengthened glass such as Gorilla glass.
$^{TM}$ has been exclusively adopted as a cover glass in many displays. Conventionally, the strengthened glass has been manufactured via ion-exchange process in wet salt bath at high temperature of around$500^{\circ}C$ for hours of treatment time. During ion-exchange process, Na ions with smaller diameter are substituted with larger-diameter K ions, resulting in high compressive stress in near-surface region and making the treated glass very resistant to scratch or impact during its use. In this study, PIIID (plasma immersion ion implantation and deposition) technique was used to implant metal ions into the glass surface for strengthening. In addition, due to the plasmonic effect of the implanted metal ions, the metal-ion implanted glass samples got colored. To implant metal ions, plasma immersion ion implantation technique combined with HiPIMS method was adopted. The HiPIMS pulse voltage of up to 1.4 kV was applied to the 3" magnetron sputtering targets (Cu, Ag, Au, Al). At the same time, the sample stage with glass samples was synchronously pulse-biased via -50 kV high voltage pulse modulator. The frequency and pulse width of 100 Hz and 15 usec, respectively, were used during metal ion implantation. In addition, nitrogen ions were implanted to study the strengthening effect of gas ion implantation. The mechanical and optical properties of implanted glass samples were investigated using micro-hardness tester and UV-Vis spectrometer. The implanted ion distribution and the chemical states along depth was studied with XPS (X-ray photo-electron spectroscopy). A cross-sectional TEM study was also conducted to investigate the nature of implanted metal ions. The ion-implanted glass samples showed increased hardness of ~1.5 times at short implantation times. However, with increasing the implantation time, the surface hardness was decreased due to the accumulation of implantation damage. -
A radio-frequency (RF) Inductively Coupled Plasma (ICP) torch system was used for boron-nitride nano-tube (BNNT) synthesis. Because of electrodeless plasma generation, no electrode pollution and effective heating transfer during nano-material synthesis can be realized. For stable plasma generation, argon and nitrogen gases were injected with 60 kW grid power in the difference pressure from 200 Torr to 630 Torr. Varying hydrogen gas flow rate from 0 to 20 slpm, the electrical and optical plasma properties were investigated. Through the spectroscopic analysis of atomic argon line, hydrogen line and nitrogen molecular band, we investigated the plasma electron excitation temperature, gas temperature and electron density. Based on the plasma characterization, we performed the synthesis of BNNT by inserting 0.5~1 um hexagonal-boron nitride (h-BN) powder into the plasma. We analysis the structure characterization of BNNT by SEM (Scanning Electron Microscopy) and TEM (Transmission Electron Microscopy), also grasp the ingredient of BNNT by EELS (Electron Energy Loss Spectroscopy) and Raman spectroscopy. We treated bundles of BNNT with the atmospheric pressure plasma, so that we grow the surface morphology in the water attachment of BNNT. We reduce the advancing contact angle to purity bundles of BNNT.
-
최근 디스플레이 시장의 주요 키워드는 flexible organic light emitting diode (OLED) 이다. OLED 소자의 수명을 결정하는 가장 큰 요인 중의 하나는 공기 중의 O2와 H2O에 의한 유기물의 열화이다. 따라서 공기 중의 O2나 H2O가 유기물에 쉽게 침투하는 것을 막는 것은 소자의 수명 향상을 위하여 필수적이라 할 수 있다[1-3]. SiNx 박막은 경질로 투과성이 우수하며, 화학적 불활성인 특성으로 이러한 Barrier 역할로 연구되어 산업분야에 다양하게 응용되고 있다[4]. SiNx 박막은 일반적으로 plasma enhanced chemical vapor deposition (PECVD) 기술을 이용하여 증착되는데 기존의 PECVD 기술을 이용한 SiNx 박막은 낮은 water vapor transmission rate (WVTR) 등의 문제점들로 인해 한계점이 들어났다. 본 연구에서는, flexible display의 thin film encapsulation (TFE) 공정에서의 적용을 알아보기 위해
$370{\times}470$ size를 증착할 수 있는 In-line 장비를 이용하였으며, 기존의 PECVD 기술의 문제점으로 지적되고 있는 낮은 WVTR을 해결하기 위하여 저온 (<$100^{\circ}C$ ) 선형 PECVD 기술을 이용하여 WVTR을 개선하고자 하였다. 공정가스로는 SiH4와 NH3를 사용하였으며, SiH4 Carrier 가스로 He을 추가적으로 사용하였다. 또한 공정 압력은 100mTorr를 유지하였다. 증착된 SiNx 박막의 물리적, 화학적 특성 분석을 위해 분광엘립소메타, field emission electron microscopy (FESEM), X-ray diffraction (XRD), Rutherford backscattering spectrometry (RBS) 등을 이용하여 측정하였으며, 박막에 투습되는 수분의 양은 MOCON사의 AQUATRAN 2(W)로 측정하였다. OLED 소자를 구현하기 위해서는 기본적으로 봉지층에 투습되는 양을$10-6g/m2{\cdot}day$ 이하로 막아줘야 한다고 알려져 있으나, 기존의 PECVD 기술을 이용하여 제작된 SiNx 박막의 WVTR은$10-2{\sim}10-3g/m2{\cdot}day$ 레벨의 WVTR 결과를 보이고 있다. 본 연구에서 사용된 저온 선형 PECVD 기술을 이용하여 제작된 SiNx 박막의 WVTR은$5.0{\times}10-5g/m2{\cdot}day$ 이하의 개선된 결과를 확인 할 수 있었다. 또한 flexible display에 적용하기 위해 SiNx 박막의 두께를 최소화한 100nm의 두께에서도 WVTR은$5.0{\times}10-5g/m2{\cdot}day$ 이하의 결과가 유지됨을 알 수 있었다. -
Inductively coupled plasma having the high-density is often used for high productivity in the plasma processing. In large area processing, the plasma can be generated by using the multi-pole connected in parallel. However, in case of this, the power cannot transfer to plasma uniformly. To address the problem, we studied the mechanism of inductively coupled plasma connected in parallel by using transformer model. We also studied about the change of the plasma parameters over the time through the power balance equation and particle balance equation.
-
플라즈마에 레이저 빛을 입사한 후 CCD 소자로 획득한 영상은 검고 밝은 픽셀로 이루어지며 이를 플라즈마 스펙클 패턴이라 정의한다. 그림 1은
$NH_3$ 플라즈마에서 획득한 스펙클 패턴을 보이고 있다 [1]. 광학에서 스펙클 패턴은 빛의 산란을 이용해 정의하고 있지만 최근의 연구에서 이 같은 정의가 잘 못되어졌음이 보고된 바 있다 [2]. 스펙클 패턴은 입사된 빛 물질과 빛 물질 에너지를 흡수하는 표면 플라즈몬 캐리어 (surface plasmon carriers-SPC)가 함께 공존하는 전자계 에너지 필드라고 정의된 바 있다 [2]. 플라즈마 스펙클 패턴은 진공이 SPC와 같은 음의 물질과 입자로 채워져 있다는 가정에 기초하고 있다 [1]. 새로이 정의된 스펙클 패턴은 전하가 없는 photon 모델과는 달리 빛이 양의 전하를 가지고 있다는 사실에 기초한 것이며, 이는 최근의 빛 물질 수집과 관련한 실험적 연구 [3], 빛 물질의 화학적 원소 분석 [4], 빛 물질의 양의 입자성 [5]에 근거를 두고 있다. 빛 물질은 그림 2 [6] 에서와 같이 물 방울을 태양 또는 레이저 빛에 노출시켜 용이하게 수집할 수 있다 [3-6]. 플라즈마 스펙클 패턴에서 픽셀합 분포함수 (pixel sum distribution function-PSDF)을 구할 수 있으며, PSDF에서 추출한 정보는 optical emission spectroscopy, langmuir probe로 수집한 데이터와 매우 유사한 경향성을 보였다 [1, 6]. 이는 스펙클 패턴이 플라즈마의 광학적 전기적 정보를 저장하고 있음을 의미한다. 본 발표에서는 새로운 플라즈마 진단 방식으로서의 스펙클 이미징 시스템, 동작원리, 물리적 기초, 그리고 응용사례 등을 살펴본다. -
Recently, many researchers have shown an increased interest in colloidal quantum dots (QDs) due to their unique physical and optical properties of size control for energy band gap, narrow emission with small full width at half maxima (FWHM), broad spectral photo response from ultraviolet to infrared, and flexible solution processing. QDs can be widely used in the field of optoelectronic and biological applications and, in particular, colloidal QDs based light emitting diodes (QDLEDs) have attracted considerable attention as an emerging technology for next generation displays and solid state lighting. A few methods have been proposed to fabricate white color QDLEDs. However, the fabrication of white color QDLEDs using single QD is very challenging. Recently, hybrid nanocomposites consisting of CdTe/ZnO heterostructures were reported by Zhimin Yuan et al.[1] Here, we demonstrate a novel but facile technique for the synthesis of CdTe/ZnO/G.O(graphene oxide) quasi-core-shell-shell quantum dots that are applied in the white color LED devices. Our best device achieves a maximum luminance of 484.2 cd/m2 and CIE coordinates (0.35, 0.28).
-
대기압 저온 Ar 플라즈마 제트에서 발생되는 플라즈마에 대해 연구하였다. 플라즈마 제트의 본체는 주사기 바늘, 유리관 그리고 테프론 튜브로 구성되어 있다. 바늘의 앞부분은 유리관에 삽입되어 있으며 바늘의 뒷부분은 테프론 튜브와 연결되어 있다. 주사기 바늘에는 수십 kHz의 사인파를 발생시키는 DC-AC 인버터로 수 kV의 고전압을 인가해준다. 기체는 테프론 튜브를 통해 바늘의 안쪽으로 흐른다. 사용 기체는 Ar이며 유량은 3 lpm이다. 주사기 바늘형 전극의 내경은 1.3 mm, 외경은 1.8 mm, 총 길이는 39.0 mm이며 재질은 스테인레스강이다. 유리관의 내경은 2.0 mm, 외경은 2.4 mm, 총 길이는 80.0 mm이다. 자외선-근적외선 분광계를 이용하여 대기압 저온 Ar 플라즈마 제트에서 발생된 플라즈마의 분광 분석을 하였다. 플라즈마 제트에서 발생되는 플라즈마의 휘도는 대략
$10{\sim}30cd/m^2$ 이다. 플라즈마의 측정 위치, 플라즈마 제트의 입력 전압과 입력 전류, 기체 종류 등의 변수에 따른 분광 실험을 하였으며 이를 통해 얻은 분광 데이터를 일반적인 볼츠만 기울기법에 대입하여 플라즈마의 들뜸 온도를 측정하였다. 또한 Ar 플라즈마 제트의 분광 데이터를 수정된 볼츠만 기울기법에 대입하여 플라즈마의 전자 온도를 측정하였다. 이는 바이오-의료용 플라즈마 및 플라즈마 공정 등의 다양한 응용 분야에서 유용하게 활용할 수 있을 것이다. -
Quantum dot nanocrystals(QDs) have been emerged as next generation materials in the field of energy harvesting, sensor, and light emitting because of their compatibility with solution process and controllable energy band gap. Especially, characteristics of color tuning and color purity make it possible for QDs to be used photoluminescence materials. Photoluminescence devices with QDs have been researched for a long time. Photoluminescence quantum yield(PL QY) is important factor that defines the performance of Photoluminescence devices. One of the ways to achieve better PL QY is ligand modification. If ligands are changed to proper electron donating group, electrons can be confined in the core which results in enhancement of PL QY. Because of the reason, short ligands are preferred for enhancing PL QY. Thiophenol-based ligands are shorter than typical alkyl chain ligands. In this study, the effect of thiophenol-based ligands with different functional groups are investigated. Four different types of thiophenol-based organic materials are used as organic capping ligand. QDs with bare thiophenol and fluorothiophenol show better quantum yield compared to oleic acid.
-
본 연구에서는 고상반응법을 사용하여 제조한 MgWO4:Dy,Na 형광체의 광학특성과 결정구조를 조사하였다. Fig.1 XRD 주 피크는
$23.9^{\circ}$ 에서 관측 되었으며 (110) 면에서 발생한 회절신호이다. 결정구조는 단사정계임을 알 수 있었다. Dy,Na의 함량비를 0 mol, 0.02 mol, 0.04 mol, 0.06 mol, 0.08 mol, 0.10 mol로 변화시켜 합성했으나, 함량비와는 관계없이 동일한 XRD 회절 피크 패턴이 관측되었다. Fig.2. 그림의 220-340 nm에서 관찰되는 넓은 밴드는$O2-{\rightarrow}W6+$ 에 의해 발생한 LMCT(ligand to metal charge transfer)이고, Dy에서 WO42- 그룹으로 에너지 전달에 의해서 생긴 CTB 이다. 합성한 형광체를 295 nm로 여기 시킨 모든 형광체 분말의 발광 스펙트럼은 Dy 이온의$4F9/2{\rightarrow}6H15/2$ 전이에 의한 487 nm,$4F9/2{\rightarrow}6H13/2$ 전이에 의한 577 nm,$4F9/2{\rightarrow}6H11/2$ 전이에 의한 668 nm의 발광 스펙트럼이 관측되었다. Dy 이온이 0.02 mol일 때, 발광 세기가 가장 강하였으며, 몰 비가 증가함에 따라 발광의 세기는 감소하는 농도 소광현상이 관측되었다. -
홀 플라즈마 엔진은 인공위성의 궤도유지 및 자세제어 등의 임무수행이나 우주선의 심우주 활용에 있어 필수적인 핵심 우주 부품이다. 홀추력기 연구개발의 최근 큰 관심사는 추력기의 장시간 운전성 확보 및 방전효율 향상이다. 최근 고리형 홀추력기에서 방전 영역 내 플라즈마와 유전체 벽 간의 충돌을 줄임으로써 전극 손상 및 전자온도 손실을 감소시키기 위한 연구가 활발히 진행되고 있다. 특히 전자석 코일을 활용해 방전 채널 벽면과 평행한 방향의 자기장을 형성하여 플라즈마와 유전체 벽 간의 상호작용을 감소시키는 연구들이 소개되고 있으며, 이러한 방법을 자기차폐(magnetic shielding)라 한다. 본 연구에서는 자기차폐 개념이 적용된 방전 소모전력 500 W급 고리형 홀추력기의 방전 및 추력 발생 특성을 연구하였다. 자기장구조 제어를 통해 유전체 벽과 플라즈마 간 상호작용을 감소시킨 결과, 500 V 수준의 방전 전압에서도 유전체 벽에서의 이차전자 발생에 의한 방전전류의 급격한 증가없이 안정적인 방전이 가능하였으며, 이러한 방전 형태는 기존의 자기차폐 개념이 적용되지 않은 일반 고리형 홀 추력기에서 구현하기 어려운 방전 상태이다. 추력기의 자기장 구조 최적화 조건에서 제논 가스 방전을 통해 얻은 최대 추력은
$22{\pm}1mN$ , 비추력$2200{\pm}70s$ , 양극효율$51{\pm}2%$ 로 매우 우수한 성능을 보여 주었다 -
다양한 형태의 대기압 저온 플라즈마 장치를 개발하고 특성을 연구하였다. 최근 대기압 저온 플라즈마를 의료 및 미용 분야에 적용하기 위한 연구가 활발하게 진행되고 있다. 본 연구에서는 대기압 플라즈마 장치의 생체 적용을 위해 전기적, 열적 피해가 없는 플라즈마 발생 장치를 개발하였다. 대기압 플라즈마 발생 장치는 크게 플라즈마 제트와 유전 격벽 방전(DBD) 플라즈마의 형태로 나눌 수 있다. 대기압 플라즈마 제트는 고압 전극의 역할을 하는 주사 바늘과 바늘을 감싸고 있는 유리관, 유리관의 외부에 위치하는 접지 전극의 구조로 되어 있다. 방전 기체는 방전이 용이한 불활성 가스가 주로 사용되지만, 필요에 따라
$N_2$ 나 Air같이 방전이 어려운 분자 및 혼합 기체도 사용 한다. 방전 기체에 따라 대기압 플라즈마 제트의 전극 구조를 다르게 적용하였으며, 각 구조에서의 플라즈마 방전 특성을 연구 하였다. 유전 격벽 방전 플라즈마 장치는 고압 전극과 접지 전극 사이에 유전체가 위치하는 구조이다. 방전 가스를 불어주지 않아도 대기중에서 방전이 가능하고, 구조가 간단하여 용도에 맞는 다양한 형태로 방전이 가능하다. 이러한 대기압 저온 플라즈마의 특성 연구를 바탕으로 전기적, 열적 피해가 없으며 사용자 편의성을 갖춘 다양한 형태의 대기압 플라즈마 장치를 개발 하였다. 본 연구를 통하여 대기압 저온 플라즈마 발생 장치의 개발과 활용 연구에 도움이 될 것으로 기대한다. -
플라즈마(plasma)란 전자와 이온이 분리된 제 4의 물질 상태이다. 이 연구의 핵심인 플라즈마 제트(plasma jet)로 재생과 출아를 하는 히드라(Hydra)를 처리하여 플라즈마가 히드라의 출아 정도에 어떠한 영향을 미치는지에 대해 연구를 진행하였다. 히드라는 자포동물문 히드로충강 히드로충목 히드라과 히드라속에 속하며 무척추동물이다. 몸의 길이는 약 5-15mm정도이며 촉수가 6-8개가 있다. 먹이 섭취는 촉수로 먹이를 마비시켜 입을 통해 먹는다. 히드라는 못이나 늪 등의 풀잎이나 물속에 떨어진 낙엽과 썩은 나뭇가지에 붙어 산다. 특히 히드라는 영양 상태의 좋고 나쁨에 따라 무성생식을 하거나 유성생식을 한다. 또한 약 1/200의 아주 작은 단위에서도 재생을 하는 특성을 가지고 있다. 이러한 히드라에 플라즈마 처리를 함으로써 플라즈마가 히드라의 출아 특성에 어떠한 영향을 미치는지에 대해 연구를 수행하였다. 실험에서 사용한 플라즈마 소스는 대기압 플라즈마 제트(Atmospheric pressure plasma jet)이며 Ar(아르곤) 가스를 이용하여 플라즈마를 발생시켰다. 플라즈마가 발생되면 생체용액과 반응을 하면서 ROS(reactive oxygen species)와 RNS(reactive nitrogen species)가 생성되는데 이 활성 종들이 플라즈마의 주요한 특성이라고 할 수 있다. ROS와 RNS에 의해서 세포가 사멸을 하거나 활성화되기도 한다. 또한, ROS와 RNS가 생체 시스템에 영향을 주는 것은 매우 잘 알려져 있다. 이 점을 이용하여 히드라를 1분, 5분, 10분 동안 플라즈마 처리하여 히드라의 출아 특성을 관찰하였다. 관찰한 결과 1분 처리한 히드라 Group과 5분 처리한 히드라 Group이 가장 개체 수 변화가 뛰어났고 10분 처리한 히드라Group은 오히려 개체 수가 감소하였다.
-
SORNSAKDANUPHAP, Jirapong;SUANPOOT, Pradoong;Hong, Young June;Ghimire, Bhagirath;CHO, Guangsup;CHOI, EunHa 207
plasma group velocities of neon with oxygen admixture (ug) are obtained by intensified charge coupled device (ICCD) camera images at fixed gate width time of 5 ns. The propagation velocities outside interelectrode region are in the order of 104 m/s.The plasma ambipolar diffusion velocities are calculated to be in the order of 102 m/s. Plasma jet is generated by all fixed sinusoidal power supply, total gas flow and repetition frequency at 3 kV, 800 sccm and 40 kHz, respectively. The amount of oxygen admixture is varied from 0 to 2.75 %. By employing one dimensional convective wave packet model, the electron temperatures in non-thermal atmospheric-pressure plasma jet are estimated to be in a range from 1.65 to 1.95 eV. -
2차원 탄소나노재료인 그래핀은 우수한 물성으로 인하여 광범위한 분야로 응용이 가능할 것으로 예상되어 많은 주목을 받아왔다. 이러한 그래핀의 응용가능성을 실현시키기 위해서는 보다 손쉽고 신뢰할 수 있는 합성방법의 개발이 필요한 실정이다. 그래핀의 합성 방법들로 흑연을 물리적 및 화학적으로 박리하거나, 특정 결정표면 위에 방향성 성장의 흑연화를 통한 합성, 그리고 열화학기상증착법(Thermal chemical vapor deposition; T-CVD) 등의 합성방법들이 제기되었다. 이중 T-CVD법은 대면적으로 두께의 균일성이 높은 그래핀을 합성하기 위한 가장 적합한 방법으로 알려져 있다. 그러나 일반적으로 T-CVD공정은 원료 가스인 탄화수소가스를 효율적으로 분해하기 위하여
$1000^{\circ}C$ 부근의 온공정이 요구되며, 이는 산업적인 응용의 측면에서 그래핀의 접근성을 제한한다. 따라서 대면적으로 고품질의 그래핀을 저온합성 할 수 있는 공정의 개발은 필수적이다. 본 연구에서는, 플라즈마를 이용하여 원료가스를 효율적으로 분해함으로써 그래핀의 저온합성을 도모하였다. 퀄츠 튜브로 구성된 수평형 합성장치는 플라즈마 방전영역과 T-CVD 영역으로 구분되며, 방전되는 유도결합 플라즈마는 원료가스를 효율적으로 분해하는 역할을 한다. 합성을 위한 기판과 원료가스로는 각각 전자빔 증착법을 통하여 300nm 두께의 니켈 박막이 증착된 실리콘 웨이퍼와 메탄가스를 이용하였다. 저온합성공정의 변수로는 인가전력과 합성시간으로 설정하였으며, 공정변수의 영향을 확인함으로써 그래핀의 저온합성 메커니즘을 고찰하였다. 연구결과, 인가전력이 증가되고 합성시간이 길어짐에 따라 원료가스의 분해효율과 공급되는 탄소원자의 반응시간이 보장되어 그래핀의 합성온도가 저하가능함을 확인하였으며,$400^{\circ}C$ 에서 다층 그래핀이 합성됨을 확인하였다. 또한 플라즈마 변수의 보다 정밀한 제어를 통해 합성온도의 저온화와 그래핀의 결정성 향상이 가능할 것으로 예상된다. -
현재 반도체 산업에서는 디바이스의 고 집적화, 고 수율을 목적으로 패턴의 미세화 및 웨이퍼의 대면적화와 같은 이슈가 크게 부각되고 있다. 다중 패터닝(multiple patterning) 기술을 통하여 고 집적 패턴을 구현이 가능해졌으며, 이와 같은 상황에서 각 패턴의 임계치수(critical dimension) 변화는 패턴의 위치 및 품질에 큰 영향을 끼치기 때문에 포토마스크의 임계치수 균일도(critical dimension uniformity, CDU)가 제작 공정에서 주요 파라미터로 인식되고 있다. 반도체 광 리소그래피 공정에서 크롬(Cr) 박막은 사용되는 포토 마스크의 재료로 널리 사용되고 있으며, 이러한 포토마스크는 fused silica, chrome, PR의 박막 층으로 이루어져 있다. 포토마스크의 패턴은 플라즈마 식각 장비를 이용하여 형성하게 되므로, 식각 공정의 플라즈마 균일도를 계측하고 관리 하는 것은 공정 결과물 관리에 필수적이며 전체 반도체 공정 수율에도 큰 영향을 미친다. 흔히, 포토마스크 임계치수는 플라즈마 공정에서의 라디칼 농도 및 식각 선택비에 의해 크게 영향을 받는 것으로 알려져 왔다. 본 연구에서는 Cr 포토마스크 에칭 공정에서의 Cl2/O2 공정 플라즈마에 대해 O2 가스 주입량에 따른 식각 선택비(etch selectivity) 변화를 계측하여 선택비 제어를 통한 Cr 포토마스크 임계치수 균일도 향상을 실험적으로 입증하였다. 연구에서 사용한 플라즈마 계측 방법인 발광분광법(OES)과 optical actinometry의 적합성을 확인하기 위해서 Cl2 가스 주입량에 따른 actinometer 기체(Ar)에 대한 atomic Cl 농도비를 계측하였고, actinometry 이론에 근거하여 linear regression error 1.9%을 보였다. 다음으로, O2 가스 주입비에 따른 Cr 및 PR의 식각률(etch rate)을 계측함으로써 식각 선택비(etch selectivity)의 변화율이 적은 O2 가스 농도 범위(8-14%)를 확인하였고, 이 구간에서 임계치수 균일도가 가장 좋을 것으로 예상할 수 있었다. (그림 1) 또한, spatially resolvable optical emission spectrometer(SROES)를 사용하여 플라즈마 챔버 내부의 O atom 및 Cl radical의 공간 농도 분포를 확인하였다. 포토마스크의 임계치수 균일도(CDU)는 챔버 내부의 식각 선택비의 변화율에 강하게 영향을 받을 것으로 예상하였고, 이를 입증하기 위해 각각 다른 O2 농도 환경에서 포토마스크 임계치수 값을 확인하였다. (표1) O2 11%에서 측정된 임계치수 균일도는 1.3nm, 그 외의 O2 가스 주입량에 대해서는 임계치수 균일도 ~1.7nm의 범위를 보이며, 이는 25% 임계치수 균일도 향상을 의미함을 보인다.
-
마이크로웨이브를 이용한 플라즈마 소스의 경우 동작 압력 범위가 넓고 전자가열이 효율적이며, 낮은 이온에너지를 갖는 고밀도의 플라즈마를 발생시킬 수 있는 장점이 있어 최근 많은 연구가 되고 있다. 그 중에서 본 연구에 이용된 선형 안테나를 사용하는 마이크로웨이브 플라즈마 장치는 구성이 간단하고, 직 병렬 결합을 통해 고효율, 고밀도의 플라즈마 생성이 가능한 장점이 있다. 본 연구에서는 선형 안테나를 사용하는 마이크로웨이브 플라즈마 소스의 구조에 따른 특성 변화를 2차원 유체 시뮬레이션을 통하여 검증하였다. Maxwell's equation, Continuity equation, Electromagnetic wave equation 등을 이용해 동축관의 유전율과 Gap size에 따른 특성 변화를 관찰하였다. 동축 형태의 도파관을 따라 전달되는 Wave의 파장을 조절하도록 구조를 변화시켜 플라즈마 특성의 변화를 관찰하고 분석하였다.
-
The amorphous InGaZnO (a-IGZO) is widely accepted as a promising channel material for thin-film transistor (TFT) applications owing to their outstanding electrical properties [1, 2]. However, a-IGZO TFTs have still suffered from their bias instability with illumination [1-4]. Up to now, many researchers have studied the sub-gap density of states (DOS) as the root cause of instability. It is well known that defect states can influence on the performances and stabilities of a-IGZO TFTs. The defects states should be closely related with the deposition condition, including sputtering power, and pressure. Nevertheless, it has not been reported how these defects are created during conventional RF magnetron sputtering. In general, during conventional RF magnetron sputtering process, negative oxygen ions (NOIs) can be generated by electron attachment in oxygen atom near target surface and then accelerated up to few hundreds eV by a self-bias; at this time, the high energy bombardment of NOIs induce defects in oxide thin films. Recently, we have reported that the properties of IGZO thin films are strongly related with effects of NOIs which are generated during the sputtering process [5]. From our previous results, the electrical characteristics and the chemical bonding states of a-IGZO thin films were depended with the bombardment energy of NOIs. And also, we suggest that the deep sub-gap states in a-IGZO as well as thin film properties would be influenced by the bombardment of high energetic NOIs during the sputtering process.In this study, we will introduce our novel technology named as Magnetic Field Shielded Sputtering (MFSS) process to prevent the NOIs bombardment effects and present how much to be improved the properties of a-IGZO thin film by this new deposition method. We deposited a-IGZO thin films by MFSS on SiO2/p-Si and glass substrate at various process conditions, after which we investigated the morphology, optical and electrical properties of the a-IGZO thin films.
-
실린더 형태의 유전체 관에 나선형으로 도전체 안테나를 설치하는 타입의 유도 결합 플라즈마원은 간단한 구조로 화학 조성 분석용부터 나노 분말 제조, 반도체용 식각/증착, 표면 처리, 자동차 및 일반 산업 부품용 증착 보조원등으로 널리 사용되고 있다. 고밀도 라디칼/이온의 공급을 위해서 투입 전력을 증가시키는 경우 높은 전력 밀도로 인해서 유전체 관에 인가되는 열응력이 대기압 및 관 고정용 구조물에 의한 구조 응력에 더해져서 파손에 이르는 경우가 발생될 수 있다. 실제 실린더 길이 전체를 안테나 코일로 감는 경우에도 플라즈마 발생 밀도가 높은 지역은 중심 일부 영역에 국한 되는 공정 영역도 있어서 이에 대한 분석이 필요하다. CFD-ACE+를 이용하여 플라즈마의 생성, 냉각수의 열전도, 외부 공냉식 팬의 역할등에 대해서 수치 모델을 작성하여 검토하였다. 나선형 냉각코일의 경우 냉각수량을 일정값 이상으로 증가시키는 경우 유속이 지나치게 빨라져서 열원이 있는 내경쪽 표면에서 열전도가 유속에 비례해서 증가하지 못하는 단점이 발생할 수 있으며 냉각팬의 경우 일반적으로 장치 내부에 대해서만 모델링을 하는 데 실제로 전체 시스템의 주변에서 공기의 흐름을 넓게 해석해야 실제 냉각 효과를 파악할 수 있다. 심한 경우 냉각용 공기 흡입구와 토출구의 간격이 좁아서 열원에 의해서 가열된 공기의 상당량이 다시 냉각용 공기 흡입구로 재순환 되는 경우도 발생하기 쉽다.
-
유기발광소자는 저전력, 빠른 응답속도, 고휘도 및 자체발광 등의 장점들 때문에 고체 광원과 플렉서블 디스플레이로 연구가 진행되고 있다. 유기발광소자는 유기 발광층을 인광물질로 사용 함으로서 100 % 내부양자 효율을 이루고 있지만 공기와 유리기판의 계면과 유리 기판과 ITO 계면에서 발생하는 내부 전반사 효과와 유기물과 ITO 기판 사이에서 발생하는 웨이브 가이드 효과 등으로 인해 발광량의 약 20 %만을 외부로 추출 할 수 있다. 따라서 유기발광소자의 광 추출 효과를 증가시키기 위해서 소자외부에 아웃커플링 필름 또는 마이크로렌즈 어레이 필름을 부착시키는 방법, 금속 나노 입자를 유기발광소자 내에 삽입하여 표면 플라즈몬 효과로 인한 광추출 효율을 높이는 방법 등이 제시되고 있다. 본 연구에서는 Au-ZnO 나노복합체를 간단한 졸겔법을 이용하여 양극 버퍼층으로 사용하여 그에 따른 계면, 전기적 및 광학적 특성을 분석하였다. Au-ZnO 나노복합체를 포함한 tris(8-hydroxyquinolinato) aluminium (Alq3) 발광층에서 ZnO를 포함한 Alq3 발광층보다 엑시톤 수명이 빠르게 감소하는 것을 시간 관련 단광자 계산(Time-Correlated Single Photon Counting) 측정을 통해서 알 수 있었다. 이러한 결과는 Au 금속 나노입자의 플라즈몬 흡수 파장과 Alq3 발광층에서 생성되는 발광 파장이 겹쳐서 효과적인 공명 에너지 전달효과로 인해 Alq3 발광층의 발광성질이 향상된 것을 의미한다. Au-ZnO 나노복합체와 ZnO 나노입자를 가지는 유기발광소자의 전류 효율은 50 mA/cm2 에서 각각 2.27와 1.83 cd/A 가지는 것으로 확인 되었다. 또한 Au-ZnO 나노복합체와 ZnO 나노입자를 사용한 유기발광소자의 전압-전류밀도가 유사한 것을 확인 할 수 있는데 이는 Au 금속 나노입자가 ZnO 나노입자의 정공 주입능력을 저하시키지 않는 것을 의미한다.
-
본 연구에서는 녹황색 빛을 내는 NaY(WO4)2:Tb3+ 형광체 파우더를 하소 350도에 1시간 소결 950도에 4시간 고상반응법으로 합성하였으며, 파우더는 X-ray diffraction과 PL 장비를 이용하여 측정하였다. XRD 분석은 Tb3+이온 도핑농도에 의한 순수한 NaYWO4 상을 나타내었다. Fig.1 220-330nm에서 관찰되는 넓은 밴드는
$O2-{\rightarrow}W6+$ 에 의해 발생한 LMCT(ligand to metal charge transfer)이고, Tb3+에서 WO42-그룹으로 에너지 전달에 의해서 생긴다. 이것의 최대세기는 272nm 이다. LMCT 옆 330-390nm에 관찰되어지는 약한 강도와 넓은 밴드는 Tb3+ 4f8의 f-f transition에 의해 발생한다. Fig.2에서 보여 지듯이$ 5D4{\rightarrow}7F6$ , 7F5, 7F4, 7F3는 파장 489nm, main peak인 545nm (Green,초록색), 588nm (orange, 주황색), 620nm (Red, 적색)에서 Peak가 나타났으며, Tb3+이온의 함량비가 0.08mol일 때 최대 발광이 관측 되었다. -
최근에 희토류 이온이 도핑된 텅스텐산(tungstates) 형광체에 대한 연구가 재조명되고 있다. 텅스텐산 형광체는 우수한 광학적 특성과 높은 화학적 안정성을 나타내기 때문에 X-선 증강 스크린(X-ray intensifying screens), 광고판용 형광 램프, 발광 다이오드, 레이저, 섬광체(scintillator), 전계방출 디스플레이 영역에 그 응용성을 넓히고 있다. 본 연구는 모체 결정 MgWO4에 희토류 이온인 Tb3+와 융제(flux)의 몰 비를 변화 시켜 고상반응법을 사용하여 합성을 하였다. 합성한 형광체를 여기 파장 281 nm로 제어하였을 시, 545 nm의 녹색 발광 스펙트럼을 관찰 하였다. Tb3+이온이 0.10 mol일 때, 가장 발광 세기가 컸으며, 몰비가 증가 할수록 발광의 세기는 점차 커지다가 0.12 mol에서 작아졌다. 주 발광 신호 이외에도 489 nm, 586 nm, 621 nm에서 상대적으로 작은 발광 스펙트럼을 보였다. XRD를 통해 분석한 결정구조는 단사정계임을 알 수 있었으며 주 피크는
$23.9^{\circ}$ 에서 발생 하였고 이는 (110)면에서 발생한 회절 신호이다. -
산업 및 기술의 발전에 의해 많은 신소재들이 개발되고 있다. 그 중에서 금속 나노 분말의 경우, 자성소재, 차세대 MLCC, 전도성 페이스트, 살균 등 여러 산업분야에서 관심을 보이면서, 다양한 재료들이 개발되고 있는 추세이다. 그 중에서 금속 나노 분말은 입자 미세화에 따른 경도, 인성, 연성들의 기계적 특성 향상, 전자기적 기능의 향상 등 기존재료에 비해 우수한 물성, 새로운 기능의 발현이 입증되면서 차세대 소재로서 많은 연구가 진행되고 있다. 또한 최근에는 단순한 나노입자의 제조단계를 뛰어넘어 입경 및 입도의 제어 형상제어를 통한 입자 균일성이 요구되고 있다 DC 열 플라즈마를 이용한 나노입자 합성 방법은 초고온의 온도의 달성이 가능하여, 모든 금속원소에 대한 나노화 및 고순도화가 용이할 뿐만 아니라, 제조공정이 단순한 친환경 공법으로 저비용으로 나노입자를 제조할 수 있는 장점을 갖고 있다. 본 연구에서는 이송식 DC 열 플라즈마를 이용한 Cu 나노분말 제조, 비이송식 DC 열 플라즈마를 이용한 Fe 나노분말 합성 연구를 통해 반응기의 압력과 플라즈마 파워, Gas 유량등의 공정 변수가 나노입자 생성 특성에 미치는 영향을 확인 하였다. 또한 DC 열플라즈마 나노입자 합성 시스템에 대한 장비와 기술도 소개한다.
-
Many researchers have been tried to improve the performance of the phosphorescent organic light-emitting diode(PHOLED) by controlling of the dopant profile in the emission layer. In this work, as shown in Fig. 1 insert, a typical red PHOLED device which has the structure of ITO/NPB(50nm)/CBP(30nm)/TPBi(10nm)/Alq3(20nm)/LiF(0.8nm)/Al(100nm) is fabricated with a 5nm thick doping section in the emission layer. The doping section is formed by co-deposition of CBP and Ir(btp)2acac with a doping concentration of 8%, and it's location(x) is changed from HTL/EML interface to EML/HBL in 5nm steps. The current efficiency versus current density of the devices are shown in Fig. 1. By changing the location of doping section, as shown in Fig. 1 and 2, at x=5nm, the efficiency shows the maximum of 3.1 cd/A at 0.5 mA/cm2 and it is slightly decreased when the section is closed to HTL and slightly increased when the section is closed to HBL. If the doping section is closed to HTL(NPB) the excitons can be quenched easily to NPB's triplet state energy level(2.5eV) which is relatively lower than that of CBP(2.6eV). Because there is a hole accumulation at EML/HBL interface the efficiency can be increased slightly when the section is closed to HBL. Even the thickness of the doping section is only 5nm,. the maximum efficiency of 3.1 cd/A with x=5 is closed to that of the homogeneously doped device, 3.3 cd/A, because the diffusion length of the excitons is relatively long. As a result, we confirm that the current efficiency of the PHOLED can be improved by the doping profile optimization such as partially, not homogeneously, doped EML structure.
-
PHOLED devices which have the structure of ITO/HAT-CN(5nm)/NPB(50nm)/EML(47nm)/TPBi(10nm)/Alq3(20nm)/LiF(0.8nm)/Al(100nm) are fabricated to investigate the diffusion length of the triplet exciton by using double-quantum-well(DQE) EML structure. To fabricate DQW structures, Ir(ppy)3(2% wt) and Ir(btp)2(8% wt) are used as green and red emission zones, respectively. In DQW structured EML, as shown in Fig. 1, 1nm thick layers of green and red emission zones are located middle of the EML, and the distance between these wells(x) is changed from 0nm to 10nm. As shown in Fig. 2, the emission spectra from DQW PHOLED devices are changed with different x. The intensity of the green emission(520nm) is decreased when x is decreased, and it goes to near zero when x=0nm. This behavior can be identified as the diffusion of the triplet excitons from Ir(ppy)3 to Ir(btp)2 by the Dexter energy transfer(DET). From the external quantum efficiency(EQE) of the red emission, as shown in Fig. 3, the diffusion length of the triplet excitons can be determined by the equation of DET rate, R=A Exp(-2RDA/L), where RDA is donor-acceptor distance and L is the sum of the van der Wals radii. As a result, the measured data of the red EQEs with different x are identified to theoretical result from the equation of DET rate(Fig. 4). From this results, we could confirm that the diffusion length of the triplet excitons can be determined by using DQW structure and this method is very useful to investigate the behavior of the excitons in PHOLEDs.
-
PHOLED devices which have the structure of ITO/HAT-CN(5nm)/NPB(50nm)/EML(30nm)/TPBi(10nm)/Alq3(20nm)/LiF(0.8nm)/Al(100nm) are fabricated to investigate the green emission profile in EML by using a gasket doping method. CBP and Ir(ppy)3 (2% wt) are co-deposited homogeneously as a background material of EML for green PHOLED, then a 5nm thickness of additionally doped layer by Ir(btp)2 (8% wt) is formed as a profiler of the green emission. The total thickness of the EML is maintained at 30nm while the distance of the profiler from the HTL/EML interface side (x) is changed in 5nm steps from 0nm to 25nm. As shown in Fig. 1, the green (513nm) peak from Ir(ppy)3 is not observed when Ir(btp)2 is also doped homogeneously because Ir(ppy)3 works as an gasket dopant of the Ir(btp)2 :CBP system. Therefore, in this experment, Ir(btp)2 can be used as a profiler of the green emission in CBP:Ir(ppy)3 system. The emission spectra from the PHOLED devices with different x are shown in Fig. 2. In this gasket doping system, stronger red peak means more energy transfer from green to red dopant or higher exciton density by green dopant. To find the green emission profile, the external quantum efficiency (EQE) at 3mA/cm2 for red peaks are calculated. More green light emission at near EML/HBL interface than that of HTL/EML is observed (insert of Fig. 2). This means that the higher exciton density at near EML/HBL interface in homogeneously doped CBP with Ir(ppy)3. As shown in Fig. 3, excitons can be quenched easily to HTL(NPB) because the T1 level of HTL(2.5eV) is relatively lower than that of EML(2.6eV). On the other hand, the T1 level of HBL(2.7eV) is higher than that of EML.
-
We have fabricated the nc-Si, IGZO based nonvolatile memory TFTs using mobile protons, which can be generated by simple hydrogen insertion process via H-NB treatment at room temperature. The TFT devices above exhibited reproducible hysteresis behavior, stable ON/OFF switching, and non-volatile memory characteristics. Also executed hydrogen treatment in order to figure out the difference of mobile proton generation between PECVD and our modified H-NB CVD. The room temperature proton-insertion process can reveal flexible inorganic based all-in-one display panel including driving circuit and memory circuit.
-
백색 발광다이오드(LED)는 기존 조명(백열등, 형광등)에 비하여 월등히 에너지를 절약할 수 있어 이미 상업적, 정책적으로 교체가 진행 중이다. 현재의 백색 LED를 만들기 위해서는 필연적으로 형광체를 사용해야 한다. 그러나 이 형광체에 의한 (a)Stocks 에너지변환에 의한 효율감소, (b)높은 공정비용, (c) 열적 안정성 저하를 피할 수 없다. 우리는 유기금속화학증착(MOCVD)과 선택적성장(selective-area epitaxial growth)방법을 이용하여 형광체를 쓰지 않고 3차원 구조체를 이용하여 백색 LED를 제작하여 전기구동하였고 전류의 세기를 변화하여도 지속적으로 동일한 백색광을 유지함을 보였다. 광학적 분석(cathodoluminescence)과 구조적 분석(scanning electron microscope, transmission electron microscope)을 진행하여 구조를 살피고 백색 발광의 원인을 분석하였다. 또한, 고배율 대물렌즈를 사용한 공간분해 광학적 분석실험(photoluminescence와 electroluminescence 데이터를 비교)으로부터 국소적 운반자의 주입효율을 분석하는 방법을 고안하여 실험하였다.[1] 향후 이 방법은 3차원 구조체 LED뿐 아니라 2차원 LED에도 응용하여 LED의 주입효율을 분석하는데 유용하게 사용될 수 있을 것이라 기대된다.
-
반도체 양자점은 불연속적인 에너지준위의 특성 때문에 고전적인 빛과는 다른 단일광자를 방출하여 양자정보 처리과정에 기본 요소로써 사용 될 수 있다. III-Nitride (III-N) 반도체 물질은 III족 원소의 구성비를 조절하였을 때 밴드갭 에너지차이가 크므로 깊은 양자 우물을 만들 수 있으며 최근에는 기존에 연구되던 III-Arsenide 기반의 반도체 양자점과 다르게 상온 (300 K) 동작 가능한 단일광자 방출원이 개발되었다.[1] 또한 약한 split-off 에너지 때문에 양자점 모양에 작은 비대칭성만 존재해도 큰 선형편광도를 가질 수 있다. 하지만 III-N 반도체 양자점의 이러한 특성에도 불구하고 이종기판과의 격자상수 불일치에 따른 많은 threading dislocation, 압전효과에 의한 큰 내부전기장에 의해 발광 효율이 떨어지는 등의 문제가 있다. 이를 해결하기 위해 반도체 양자점을 3차원 구조체와 결합하여 threading dislocation 및 내부전기장을 줄이는 연구들이 진행되고 있다.[2] 본 연구에서는 선택적 영역 성장 방식을 통해 마이크로미터 크기를 가지는 피라미드 형태의 3차원 구조체를 이용, 피라미드의 꼭지점에 형성된 InGaN/GaN 양자점의 광학적 특성에 대해 분석하였다. 저온(9 K)에서 마이크로 photoluminescence 측정을 통해 양자점의 발광파장이 피라미드의 옆면의 파장과는 다름을 확인하였다. 여기광의 세기에 따른 양자점의 발광 세기 측정하여 여기광에 선형 비례함을 보이고, 양자점의 편광도를 측정하여 선형 편광임을 확인하였다. 마지막으로, 광량에 대해 시간에 따른 상관관계를 측정함으로써 양자점이 양자 발광체의 특성을 보이는 지 확인하였다.
-
반도체 선폭이 20 nm급까지 감소함에 따라 기존에 수율에 문제를 끼치던 공정 외부 유입 입자뿐만 아니라, 공정 도중에 발생하는 수~수십 나노의 작은 입자도 수율에 악영향을 끼치게 되었다. 이에 따라 저압, 극청정 조건에서 진행되는 공정 중 발생하는 입자를 실시간으로 모니터링 할 수 있는 장비에 대한 수요가 발생하고 있다. Particle beam mass spectrometer (PBMS)는 이러한 요구사항을 만족할 수 있는 장비로 100 mtorr의 공정 조건에서 5 nm 이상의 입자의 직경별 수농도를 측정할 수 있는 장비이다. PBMS로 입자의 수농도를 측정하기 위해서는 PBMS 전단에서 입자를 중앙으로 집속할 필요가 있다. 공기역학렌즈는 PBMS 전단에서 입자를 집속시키기 위해 일반적으로 널리 사용되고 있는 장비로 여러 개의 오리피스로 이루어져 있다. 공기역학렌즈를 지나는 수송 유체와 입자는 이러한 연속 오리피스를 거치면서 팽창과 수축을 반복하며, 관성력의 차이로 인해 입자가 중앙으로 집속된다. 그러나 기존 공기역학렌즈는 고정된 직경의 오리피스를 사용하기 때문에 설계된 공정조건 이외에는 입자의 집속효율이 감소한다는 단점을 지닌다. 따라서 공정조건이 바뀔 경우 공기역학렌즈를 교체해야 되며, 진공이라는 환경하에서 이러한 교체는 많은 시간과 노력을 요구로 한다. 본 연구에서는 이러한 공기역학렌즈의 문제점을 해결하기 위해 다양한 공정조건에서 교체 없이 사용할 수 있는 새로운 형태의 직경 가변형 공기역학렌즈인 조리개형 공기역학렌즈를 제안하였다. 기존 연구를 통해 조리개형 공기 역학 렌즈가 다양한 압력 범위 내에서 나노입자를 성공적으로 집속할 수 있음을 보였지만, 장비를 상용화하기 위해서는 사용자가 좀 더 쉽게 렌즈직경을 결정 할 수 있어야 한다. 이에 본 연구에서는 조리개형 렌즈의 중공 직경에 따른 입자 집속 특성을 평가하였으며, 최종적으로 압력과 집속하고자 하는 직경에 따라 렌즈 중공 직경을 결정할 수 있게 해주는 데이터 베이스를 제작하였다.
-
Quang, Van Nguyen;Shin, Yooleemi;Duong, Anh Tuan;Nguyen, Thi Minh Hai;Cho, Sunglae;Meny, Christian 242
Magnetite, Fe3O4, is a ferrimagnet with a cubic inverse spinel structure and exhibits a metal-insulator, Verwey, transition at about 120 K.[1] It is predicted to possess as half-metallic nature, 100% spin polarization, and high Curie temperature (850 K). Cobalt ferrite is one of the most important members of the ferrite family, which is characterized by its high coercivity, moderate magnetization and very high magnetocrystalline anisotropy. It has been reported that the CoFe2O4/Fe3O4 bilayers represent an unusual exchange-coupled system whose properties are due to the nature of the oxide-oxide super-exchange interactions at the interface [2]. In order to evaluate the effect of interface interactions on magnetic and transport properties of ferrite and cobalt ferrite, the CoFe2O4/Fe3O4 superlattices on MgO (100) substrate have been fabricated by molecular beam epitaxy (MBE) with the wave lengths of 50, and$200{\AA}$ , called$25{\AA}/25{\AA}$ and$100{\AA}/100{\AA}$ , respectively. Streaky RHEED patterns in sample$25{\AA}/25{\AA}$ indicate a very smooth surface and interface between layers. HR-TEM image show the good crystalline of sample$25{\AA}/25{\AA}$ . Interestingly, magnetization curves showed a strong antiferromagnetic order, which was formed at the interfaces. -
본 연구에서는 선형 대향 타겟 스퍼터 (Linear Facing Target Sputtering: LFTS) 시스템을 이용하여 ITO와 Ti doped
$In_2O_3$ (TIO) 타겟을 Co-sputtering한 InSnTiO 투명 전극의 전기적, 광학적 특성을 연구하였다. InSnTiO 투명전극의 전기/광학적 및 구조적 특성은 Hall measurement, UV/Vis spectrometry, X-ray Diffraciton 분석법을 통해 최적화 하였고, DC power, substrate to target distance (TSD), target to target distance (TTD), ambient treatment 변수 조절을 통해 최적화된 LFTS InSnTiO 투명전극을 제작하였다. LFTS 공정을 이용한 InSnTiO 투명전극의 성막 공정 중 DC파워와 공정압력 변화에 따른 구조적, 표면적 특성 변화는 Field-Emission Scanning Electron Microscopy (FE-SEM) 과 X-ray Diffractometer (XRD) 분석을 통해 관찰하였다. 이렇게 증착된 InSnTiO 투명전극은 급속열처리 시스템으로 (Rapid Thermal Annealing system) 후열처리를 진행하여 투과도의 향상과 면저항의 감소를 확인하였다. 본 연구에서는 다양한 분석을 통해 Co-sputtering한 InSnTiO 박막의 특성과 다양한 장점을 소개한다. -
Tungsten-nitrogen (W-N) co-doping has been known to enhance the photocatalytic activity of anatase titania nanoparticles by utilizing visible light. The doping effects are, however, largely dependent on calcination or annealing conditions, and thus, the massive production of quality-controlled photocatalysts still remains a challenge. Using density functional theory (DFT) thermodynamics and time-dependent DFT (TDDFT) computations, we investigate the atomic structures of N doping and W-N co-doping in anatase titania, as well as the effect of the thermal processing conditions. We find that W and N dopants predominantly constitute two complex structures: an N interstitial site near a Ti vacancy in the triple charge state and the simultaneous substitutions of Ti by W and the nearest O by N. The latter case induces highly localized shallow in-gap levels near the conduction band minimum (CBM) and the valence band maximum (VBM), whereas the defect complex yielded deep levels (1.9 eV above the VBM). Electronic structures suggest that substitutions of Ti by W and the nearest O by N improves the photocatalytic activity of anatase by band gap narrowing, while defective structure degrades the activity by an in-gap state-assisted electron-hole recombination, which explains the experimentally observed deep level-related photon absorption. Through the real-time propagation of TDDFT (rtp-TDDFT), we demonstrate that the presence of defective structure attracts excited electrons from the conduction band to a localized in-gap state within a much shorter time than the flat band lifetime of titania. Based on these results, we suggest that calcination under N-rich and O-poor conditions is desirable to eliminate the deep-level states to improve photocatalysis.
-
We have investigated the crystallinity, preferential ordering, and interfacial stability of 1,4,5,8,9,11-hexaazatriphenylene-hexanitrile (HATCN) thin film interconnected with organic/inorganic multilayer. At the region close to the organic-organic interface, HATCN formed low crystalline order with substantial amorphous phase. As film growth continued, HATCN stacked with high crystalline phase. After a sputtering deposition of the indium zinc oxide (IZO) layer on top of HATCN/organic layer, the volume fraction of preferentially ordered HATCN crystals increased without any structural deterioration. In addition, the HATCN surface was kept quite stable by preserving the sharp interface between HATCN and sputtering deposited IZO layers.
-
For several decades, industrial processes consume a huge amount of raw water for various objects that consequently results in the generation of large amounts of wastewater. Wastewaters are consisting of complex mixture of different inorganic and organic compounds and some of them can be toxic, hazardous and hard to degrade. These effluents are mainly treated by conventional technologies such are aerobic and anaerobic treatment and chemical coagulation. But, these processes are not suitable for eliminating all hazardous chemical compounds form wastewater and generate a large amount of toxic sludge. Therefore, other processes have been studied and applied together with these techniques to enhance purification results. These include photocatalysis, absorption, advanced oxidation processes, and ozonation, but also have their own drawbacks. In recent years, electrochemical techniques have received attention as wastewater treatment process that could be show higher purification results. Among them, boron doped diamond (BDD) attract attention as electrochemical electrode due to good chemical and electrochemical stability, long lifetime and wide potential window that necessary properties for anode electrode. So, there are many researches about high quality BDD on Nb, Ta, W and Si substrates, but, their application in effluents treatment is not suitable due to high cost of metal and low conductivity of Si. To solve these problems, Ti has been candidate as substrate in consideration of cost and property. But there are adhesion issues that must be overcome to apply Ti as BDD substrate. Al, Cu, Ti and Nb thin films were deposited on Ti substrate to improve adhesion between substrate and BDD thin film. In this paper, BDD films were deposited by hot filament chemical vapor deposition (HF-CVD) method. Prior to deposition, cleaning processes were conducted in acetone, ethanol, and isopropyl alcohol (IPA) using sonification machine for 7 min, respectively. And metal layer with the thickness of 200 nm were deposited by DC magnetron sputtering (DCMS). To analyze microstructure X-ray diffraction (XRD, Bruker gads) and field emission scanning electron microscopy (FE-SEM, Hitachi) were used. It is confirmed that metal layer was effective to adhesion property and improved electrode property. Electrochemical measurements were carried out in a three electrode electrochemical cell containing a 0.5 % H2SO4 in deionized water. As a result, it is confirmed that metal inter layer heavily effect on BDD property by improving adhesion property due to suppressing formation of titanium carbide.
-
최근 학계나 산업계에서 indium tin oxide (ITO)의 높은 전기 전도도 및 광투과율을 이용하여 줄 발열을 기초로 하는 투명 면상 발열체에 대한 연구가 활발히 진행 되고 있다. 하지만 단일 ITO 박막으로 제작한 투명 면상 발열체는 온도가 상승함에 따라 균일하게 발열 되지 않으며, 글라스의 곡면 부분에서 유연성이 부족하여 크랙이 발생하는 다양한 문제점들을 가지고 있다. 이를 해결하기 위해 ITO의 결정화 온도
$160^{\circ}C$ 이상의 고온공정 또는 증착 후 열처리가 필요 하는 추가적인 공정이 필요하다. 따라서 본 연구에서는 단일 ITO 박막의 단점을 개선하는 ITO/Ag/ITO 하이브리드 구조의 투명 면상 발열체를 제작하여 전기적, 광학적 특성을 비교하고 발열량, 온도 균일성, 발열 유지 안정도를 조사하였다. 본 연구에서는$50{\times}50mm$ 크기의 non-alkali glass (Corning E-2000) 기판 상에 마그네트론 스퍼터링 공정으로 상온에서 ITO/Ag/ITO 박막을 연속적으로 증착 하여 다층구조의 하이브리드 형 투명 면상 발열체를 제조하였다. 박막 증착 파워는 DC (Ag) power 100 W, RF (ITO) power 200 W로 하였으며 ITO박막두께는 40 nm로 고정 시키고 Ag박막 두께는 10 ~ 20 nm로 변화를 주었다. 증착원은 3인치 ITO 단일 타깃(SnO2, 10 wt.%)과 Ag 금속 타깃 (순도 99.99%)을 사용하였으며, 고순도 Ar을 이용하여 방전하였으며 총 주입량은 20 sccm, working pressure는 1.0 Pa을 유지하였다. 증착전 타깃 표면의 불순물 제거와 방전의 안정성을 유지하기 위해 10분간 pre-sputtering을 진행하고 증착하였다. 증착한 박막의 전기적, 광학적 특성은 각각 Hall-effect measurements system (ECOPIA, HMS3000), UV-Vis spectrophotometer (UV-1800, SHIMADZU)으로 측정하였으며, 하이브리드 표면의 구조 및 형상은 field emission-scanning electron microscopy (FE-SEM, Hitachi S-4800)으로 관찰하였다. 또한 투명 면상 발열체의 성능은 0.5 ~ 3 V/cm의 다양한 전압을 power supply (Keithly 2400, USA)를 통해서 시편 양 끝단에 인가한 후 시간에 따른 투명면상 발열체의 표면 온도변화를 infrared thermal imager (IR camera, Nikon)를 이용하여 관찰하였다. 하이브리드 구조를 가진 ITO박막의 두께는 40 nm로 고정 시키고 Ag박막의 두께는 10, 15, 20 nm로 변화를 주었다. 이들 박막의 면저항 값은 각각 5.3, 3.2,$2.1{\Omega}/{\Box}$ 였으며, 투과도는 각각 86.9, 81.7, 66.5 %였다. 이에 비해 두께 95 nm의 단일 ITO박막의 면저항 값은$59.5{\Omega}/{\Box}$ 였으며, 투과도는 89.1 %였다. 하이브리드 구조의 전기적특성은 금속층의 두께가 증가할수록 캐리어 농도 값이 증가함에 따라 비저항 값이 감소되어 면저항 값도 감소된 것이며, 금속 삽입층의 전도특성이 비저항에 큰 영향을 주고 있음을 보여준다. 하지만 금속 층의 두께가 증가할수록 Ag층이 연속적인 막을 형성하여 반사율이 증가함에 따라 투과도가 감소하였다. 따라서 하이브리드 구조를 가진 투명 면상 발열체에 금속 삽입층의 두께 조절은 매우 중요한 인자임을 확인 할 수 있었다. 또한 발열성능을 평가 하기 위해 시편 양 끝단에 3 V전압을 인가한 결과, 금속 삽입층의 두께가 10 nm에서 5 nm씩 증가한 하이브리드 구조를 가진 투명면상 발열체의 최고 온도는 각각 98, 150,$167^{\circ}C$ 였으며, 단일 ITO의 최고 온도는$32^{\circ}C$ 였다. 이 것은 동일한 두께 (95 nm)의 단일 ITO 박막과 비교하여 면저항이 낮은 하이브리드 박막의 발열량은 약$120^{\circ}C$ 로 발열효율이 매우 우수한 것을 확인 할 수 있었다. -
최근 세계적으로 대체 에너지는 중요한 이슈가 되고 있으며 그 중 열전 재료는 유망한 에너지 기술로서 주목 받고 있다. 특히 고 직접화 전자 소자의 발열 문제를 해결하기 위해, 소형화와 정밀 온도 제어가 가능한 박막형 열전 소자에 연구가 주목 받고 있다. 박막형 열전소자 중 산화물 반도체계에 대한 연구가 활발히 진행되고 있으며, 이러한 산화물 반도체계 중 In2O3는 BiTe, PbTe 등의 기존의 재료에 비해 독성이 낮을 뿐만 아니라 내 산화성 및 고온에서 열적 안정성이 우수하여 고온에서 적용 불가능한 금속계 열전 재료의 한계를 극복 할 수 있다는 장점을 가진다. 우수한 성능 가장 낮은 캐리어 밀도를 가지기 때문에 의 열전 재료는 높은 전기 전도도 및 제백 계수 그리고 낮은 열전도도 특성을 가져야만 한다. IZO:Sn(Zn 10 wt.%, Sn 800 ppm) 박막의 경우, 높은 전기 전도성을 가지면서 비정질 구조를 가진다. 이와 같이 비정질 구조를 가지는 박막 열전 재료는 격자에 의한 열 전도도가 낮기 때문에 결정질 구조에 비해 전체 열 전도도 값이 낮을 것으로 기대된다. 따라서 높은 전기 전도도를 가지면서 동시에 낮은 열 전도도를 가지게 되어 우수한 열전 특성을 가질 것이라 예상된다. 이러한 특성을 바탕으로 본 연구에서는 비정질 구조를 갖는 Zn와 미량의 Sn을 동시에 첨가한 In2O3박막의 전기적 특성및 열전 특성을 관찰하고자 한다. 본 연구에서는 magnetron sputtering법으로 IZO:Sn(Zn 10 wt.%, Sn 800 ppm) 타깃을 이용하여 기판 가열없이 DC Power 70 W, 작업 압력 0.7 Pa으로 SiO2 기판 위에
$400{\pm}20nm$ 두께의 박막을 증착하였다. 이러한 공정으로 만들어진 박막은 대기 중 후 열처리를 각각의 200, 300, 400, 500,$600^{\circ}C$ 온도에서 진행하였다. 박막의 미세 구조는 XRD를 통해 관찰하였다. 그리고 박막의 전기적 특성은 Hall effect measurement을 통해 측정하였고, 열전 특성은 Seebeck 상수의 측정을 통하여 평가하였다. XRD 확인 결과 RT에서 증착한 박막과 후 열처리 200, 300, 400,$500^{\circ}C$ 결과 비정질 구조를 보였고, 후열처리$600^{\circ}C$ 에서는 결정의 회절 피크를 보였다. 전기적 특성의 경우, 후 열처리 온도가 증가함에 따라 전기 전도도는 감소한다. 이는 공기중의 산소가 박막에 침투하여 oxygen vacancy를 막아 캐리어 밀도가 감소한것에 기인 된 것으로 판단된다. 열전 특성의 경우 제백상수는 후 열처리$600^{\circ}C$ 에서 가장 높은 제백상수를 나타낸다. 제백 상수는 수식에 따라 캐리어 밀도의 -2/3승에 비례하게 된다. 수식에 따라 후 열처리$600^{\circ}C$ 에서 가장 낮은 캐리어 밀도를 가지기 때문에 가장 높은 제백 상수를 가지게 된다. 열전 성능 척도인 Power factor는 제백 상수의 제곱과 전기전도도의 곱으로 나타내는데, 후 열처리$200^{\circ}C$ 에서 가장 높은 Power factor를 보인다. 이는 캐리어 밀도 감소에 따라 전기 전도도는 감소하였지만 이로 인해 제백상수는 증가하였고, 또한 캐리어 밀도 감소에 따라 이온화 불순물 산란의 감소에 의해 이동도의 증가에 의한 것으로 판단된다. 박막의 경우 기판의 영향으로 인해 열 전도도 측정이 어려워 열전 성능 지수(ZT)를 계산을 할 수 없지만, 마그네트론 스퍼터링법으로 증착한 IZO:Sn 박막은 비정질 구조를 가지므로 격자진동에 의한 열 전도도가 낮아 전체 열 전도도가 결정질에 비해 낮을 것이며 이는 높은 열전 성능 지수를 가질 것으로 예상된다. -
Tin dioxide (SnO2) thin film is one of the most important n-type semiconducting materials having a high transparency and chemical stability. Due to their favorable properties, it has been widely used as a base materials in the transparent conducting substrates, gas sensors, and other various electronic applications. Up to now, SnO2 thin film has been extensively studied by a various deposition techniques such as RF magnetron sputtering, sol-gel process, a solution process, pulsed laser deposition (PLD), chemical vapor deposition (CVD), and atomic layer deposition (ALD) [1-6]. Among them, ALD or plasma-enhanced ALD (PEALD) has recently been focused in diverse applications due to its inherent capability for nanotechnologies. SnO2 thin films can be prepared by ALD or PEALD using halide precursors or using various metal-organic (MO) precursors. In the literature, there are many reports on the ALD and PEALD processes for depositing SnO2 thin films using MO precursors [7-8]. However, only ALD-SnO2 processes has been reported for halide precursors and PEALD-SnO2 process has not been reported yet. Herein, therefore, we report the first PEALD process of SnO2 thin films using SnCl4 and oxygen plasma. In this work, the growth kinetics of PEALD-SnO2 as well as their physical and chemical properties were systemically investigated. Moreover, some promising applications of this process will be shown at the end of presentation.
-
Resistive random access memory (ReRAM)는 낮은 동작 전압, 빠른 동작 속도, 고집적화 등의 장점으로 인해 차세대 비휘발성 메모리 소자로써 많은 관심을 받고 있다. 최근에 ReRAM 절연막으로 NiOx, TiOx, AlOx TaOx, HfOx와 같은 binary metal oxide 물질들을 적용하는 연구가 활발히 진행되고 있다. 특히, HfOx는 안정적인 동작 특성을 나타낸다는 점에서 ReRAM 절연막 물질로 적합하다고 보고되고 있다. ReRAM 절연막을 형성할 때, 물리 기상 증착 방법 (PVD)이나 화학 기상 증착법 (CVD)과 같은 방법이 많이 이용된다. 이러한 증착 방법들은 고품질의 박막을 형성시킬 수 있는 장점이 있다. 하지만, 높은 온도에서의 공정과 고가의 진공 장비가 이용되기 때문에 경제적인 문제가 있으며, 기판 또는 금속에 플라즈마 손상으로 인한 문제가 발생할 수 있다. 따라서 이러한 문제점들을 개선하기 위해 용액 공정이 많은 관심을 받고 있다. 용액 공정은 공정과정이 간단할 뿐만 아니라 소자의 대면적화가 가능하고 공정온도가 낮으며 고가의 진공장비가 필요하지 않은 장점을 가진다. 따라서 본 연구에서는, 용액공정을 이용하여 HfOx 기반의 ReRAM 제작하였고
$25^{\circ}C$ 와$85^{\circ}C$ 에서 ReRAM의 동작특성에 미치는 compliance current의 영향을 평가하였다. 실험 방법으로는, hafnium chloride (0.1 M)를 2-methoxyethanol에 충분히 용해시켜서 precursor를 제작하였다. 이후, p-type Si 기판 위에 습식산화를 통하여 300 nm 두께의 SiO2 절연층을 성장시킨 후, 하부전극을 형성하기 위해 electron beam evaporation을 이용하여 10/100 nm 두께의 Ti/Pt 전극을 증착하였다. 순차적으로, 제작된 산화물 precursor를 이용하여 Pt 위에 spin coating 방법으로 1000 rpm 10 초, 6000 rpm 30초의 조건으로 두께 35 nm의 HfOx 막을 증착하였다. 최종적으로, solvent 및 불순물을 제거하기 위해$180^{\circ}C$ 의 온도에서 10 분 동안 열처리를 진행하였으며, 상부 전극을 형성하기 위해 electron beam evaporation을 이용하여 Ti와 Al을 각각 50 nm, 100 nm의 두께로 증착하였다. ReRAM 동작에서 compliance current가 미치는 영향을 평가하기 위하여 compliance current를 10mA에서 1mA까지 변화시키면서 측정한 결과,$25^{\circ}C$ 에서는 compliance current의 크기와 상관없이 일정한 메모리 윈도우와 우수한 endurance 특성을 얻는 것을 확인하였다. 한편,$85^{\circ}C$ 의 고온에서 측정한 경우에는 1mA의 compliance current를 적용하였을 때,$25^{\circ}C$ 에서 측정된 메모리 윈도우 크기를 비슷하게 유지하면서 더 우수한 endurance 특성을 얻는 것을 확인하였다. 결과적으로, 용액공정 방법으로 제작된 ReRAM을 측정하는데 있어서 compliance current를 줄이면 보다 우수한 endurance 특성을 얻을 수 있으며, ReRAM 소자의 전력소비감소에 효과적이라고 기대된다. -
기존의 디스플레이 기슬은 마스크를 통해 특정 부분에만 유기재료를 증착시키는 방법을 사용하였으나, 기판의 크기가 커짐에 따라 공정조건에 제약이 발생하였다. 이를 해결하기 위해 최근 용액 공정에 대한 연구가 활발히 진행되고 있다. 용액 공정은 기존 진공 증착 방식과 비교하였을 때 상온, 대기압에서 증착이 가능하며 경제적이고, 대면적 균일 증착에 유리하다는 장점이 있다. 반면, 용액 공정으로 제작한 소자는 시간이 지남에 따라 점차 전기적 특성이 변하는 aging effect를 보인다. Aging effect는 용액에 포함된 C기와 OH기 기반의 불순물의 영향으로 시간의 경과에 따라서 문턱전압, subthreshold swing 및 mobility 등의 전기적 특성이 변하는 현상으로 고품질의 박막을 형성하기 위해서는 고온의 열처리가 필요하다. 지금까지 고품질 박막 형성을 위한 열처리는 퍼니스 (furnace) 장비에서 주로 이루어졌는데, 시간이 오래 걸리고, 상대적으로 고온 공정이기 때문에 유리, 종이, 플라스틱과 같은 다양한 기판에 적용하기 어렵다는 단점이 있다. 따라서, 본 연구에서는
$100^{\circ}C$ 이하의 저온에서도 열처리가 가능한 microwave irradiation (MWI) 방법을 이용하여 solution-processed InGaZnO TFT를 제작하였고, 기존의 열처리 방식인 furnace로 열처리한 TFT 소자와 aging effect를 비교하였다. 먼저, solution-processed IGZO TFT를 제작하기 위해 p type Si 기판을 열산화시켜서 100 nm의 SiO2 게이트 산화막을 성장시켰고, 스핀코팅 방법으로 a-IGZO 채널층을 형성하였다. 증착후 열처리를 위하여 1000 W의 마이크로웨이브 출력으로 15분간 MWI를 실시하여 a-IGZO TFT를 제작하였고, 비교를 위하여 furnace N2 gas 분위기에서$600^{\circ}C$ 로 30분간 열처리한 TFT를 준비하였다. 제작된 직후의 TFT 특성을 평가한 결과, MWI 열처리한 소자가 퍼니스 열처리한 소자보다 높은 이동도, 낮은 subthreshold swing (SS)과 히스테리시스 전압을 가지는 것을 확인하였다. 한편, aging effect를 평가하기 위하여 제작 후에 30일 동안의 특성변화를 측정한 결과, MWI 열처리 소자는 30일 동안 문턱치 전압(VTH)의 변화량${\Delta}VTH=3.18[V ] $ 변화되었지만, furnace 열처리 소자는${\Delta}VTH=8.56[V ] $ 로 큰 변화가 있었다. 다음으로 SS의 변화량은 MWI 열처리 소자가${\Delta}SS=106.85[mV/dec ] $ 인 반면에 퍼니스 열처리 소자는${\Delta}SS=299.2[mV/dec ] $ 이었다. 그리고 전하 트래핑에 의해서 발생하는 게이트 히스테리시스 전압의 변화량은 MWI 열처리 소자에서${\Delta}V=0.5[V ] $ 이었지만, 퍼니스 열처리 소자에서${\Delta}V=5.8[V ] $ 의 큰 수치를 보였다. 결과적으로 MWI 열처리 방식이 퍼니스 열처리 방식보다 소자의 성능이 우수할 뿐만 아니라 aging effect가 개선된 것을 확인할 수 있었고 차세대 디스플레이 공정에 있어서 전기적, 화학적 특성을 개선하는데 기여할 것으로 기대된다. -
최근에 메모리의 초고속화, 고집적화 및 초절전화가 요구되면서 resistive random access memory (ReRAM), ferroelectric RAM (FeRAM), phase change RAM (PRAM)등과 같은 차세대 메모리 기술이 활발히 연구되고 있다. 다양한 메모리 중에서 특히 resistive random access memory (ReRAM)는 빠른 동작 속도, 낮은 동작 전압, 대용량화와 비휘발성 등의 장점을 가진다. ReRAM 소자는 절연막의 저항 스위칭(resistance switching) 현상을 이용하여 동작하기 때문에 SiOx, AlOx, TaOx, ZrOx, NiOx, TiOx, 그리고 HfOx 등과 같은 금속 산화물에 대한 연구들이 활발하게 이루어지고 있다. 이와 같이 다양한 산화물 중에서 AlOx는 ReRAM의 절연막으로 적용되었을 때, 우수한 저항변화특성과 안정성을 가진다. 하지만, AlOx 박막을 형성하기 위하여 기존에 많이 사용되어지던 PVD (physical vapour deposition) 또는 CVD (chemical vapour deposition) 방법에서는 두께가 균일하고 막질이 우수한 박막을 얻을 수 있지만 고가의 진공장비 사용 및 대면적 공정이 곤란하다는 문제점이 있다. 한편, 용액 공정 방법은 공정과정이 간단하여 경제적이고 대면적화가 가능하며 저온에서 공정이 이루어지는 장점으로 많은 관심을 받고 있다. 본 연구에서는 sputtering 방법과 용액 공정 방법으로 형성한 AlOx 기반의 ReRAM에서 메모리 특성을 비교 및 평가하였다. 먼저, p-type Si 기판 위에 습식산화를 통하여 SiO2 300 nm를 성장시킨 후, electron beam evaporation으로 하부 전극을 형성하기 위하여 Ti와 Pt를 각각 10 nm와 100 nm의 두께로 증착하였다. 이후, 제작된 AlOx 용액을 spin coating 방법으로 1000 rpm 10 초, 6000 rpm 30 초의 조건으로 증착하였다. Solvent 및 불순물 제거를 위하여
$180^{\circ}C$ 의 온도에서 10 분 동안 열처리를 진행하였고, 상부 전극을 형성하기 위해 shadow mask를 이용하여 각각 50 nm, 100 nm 두께의 Ti와 Al을 electron beam evaporation 방법으로 증착하였다. 측정 결과, 용액 공정 방법으로 형성한 AlOx 기반의 ReRAM에서는 기존의 sputtering 방법으로 제작된 ReRAM에 비해서 저항 분포가 균일하지는 않았지만, 103 cycle 이상의 우수한 endurance 특성을 나타냈다. 또한, 1 V 내외로 동작 전압이 낮았으며 104 초 동안의 retention 측정에서도 메모리 특성이 일정하게 유지되었다. 결론적으로, 간단한 용액 공정 방법은 ReRAM 소자 제작에 많이 이용될 것으로 기대된다. -
최근 디스플레이 산업의 발전에 따라 고성능 디스플레이가 요구되며, 디스플레이의 백플레인 (backplane) TFT (thin film transistor) 구동속도를 증가시키기 위한 연구가 활발히 진행되고 있다. 트랜지스터의 구동속도를 증가시키기 위해 높은 이동도는 중요한 요소 중 하나이다. 그러나, 기존 백플레인 TFT에 주로 사용된 amorphous silicon (a-Si)은 대면적화가 용이하며 가격이 저렴하지만, 이동도가 낮다는 (<
$1cm2/V{\cdot}s$ ) 단점이 있다. 따라서 전기적 특성이 우수한 산화물 반도체가 기존의 a-Si의 대체 물질로써 각광받고 있다. 산화물 반도체는 비정질 상태임에도 불구하고 a-Si에 비해 이동도 (>$10cm2/V{\cdot}s$ )가 높고, 가시광 영역에서 투명하며 저온에서 공정이 가능하다는 장점이 있다. 하지만, 차세대 디스플레이 백플레인에서는 더 높은 이동도 (>$30cm2/V{\cdot}s$ )를 가지는 TFT가 요구된다. 따라서, 본 연구에서는 차세대 디스플레이에서 요구되는 높은 이동도를 갖는 TFT를 제작하기 위하여, amorphous In-Ga-Zn-O (a-IGZO) 채널하부에 화학적으로 안정하고 전도성이 뛰어난 SnO2 채널을 얇게 형성하여 TFT를 제작하였다. 표준 RCA 세정을 통하여 p-type Si 기판을 세정한 후, 열산화 공정을 거쳐서 두께 100 nm의 SiO2 게이트 절연막을 형성하였다. 본 연구에서 제안된 적층된 채널을 형성하기 위하여 5 nm 두계의 SnO2 층을 RF 스퍼터를 이용하여 증착하였으며, 순차적으로 a-IGZO 층을 65 nm의 두께로 증착하였다. 그 후, 소스/드레인 영역은 e-beam evaporator를 이용하여 Ti와 Al을 각각 5 nm와 120 nm의 두께로 증착하였다. 후속 열처리는 퍼니스로 N2 분위기에서$600^{\circ}C$ 의 온도로 30 분 동안 실시하였다. 제작된 소자에 대하여 TFT의 전달 및 출력 특성을 비교한 결과, SnO2 층을 형성한 TFT에서 더 뛰어난 전달 및 출력 특성을 나타내었으며 이동도는$8.7cm2/V{\cdot}s$ 에서$70cm2/V{\cdot}s$ 로 크게 향상되는 것을 확인하였다. 결과적으로, 채널층 하부에 SnO2 층을 형성하는 방법은 추후 높은 이동도를 요구하는 디스플레이 백플레인 TFT 제작에 적용이 가능할 것으로 기대된다. -
반도체 산업 전반에 걸쳐 이루어지고 있는 연구는 소자를 더 작게 만들면서도 구동능력은 우수한 소자를 만들어내는 것이라고 할 수 있다. 따라서 소자의 미세화와 함께 트랜지스터의 구동능력의 향상을 위한 기술개발에 대한 필요성이 점차 커지고 있으며, 고유전(high-k)재료를 트랜지스터의 게이트 절연막으로 이용하는 방법이 개발되고 있다. High-k 재료를 트랜지스터의 게이트 절연막에 적용하면 낮은 전압으로 소자를 구동할 수 있어서 소비전력이 감소하고 소자의 미세화 측면에서도 매우 유리하다. 그러나, 초미세화된 소자를 제작하기 위하여 high-k 절연막의 두께를 줄이게 되면, 전기적 용량(capacitance)은 커지지만 에너지 밴드 오프셋(band-offset)이 기존의 실리콘 산화막(SiO2)보다 작고 또한 열공정에 의해 쉽게 결정화가 이루어지기 때문에 누설전류가 발생하여 소자의 열화를 초래할 수 있다. 따라서, 최근에는 이러한 문제를 해결하기 위하여 게이트 절연막 엔지니어링을 통해서 누설전류를 줄이면서 전기적 용량을 확보할 수 있는 연구가 주목받고 있다. 본 실험에서는 high-k 물질인 Ta2O5와 SiO2를 적층시켜서 누설전류를 줄이면서 동시에 높은 캐패시턴스를 달성할 수 있는 게이트 절연막 엔지니어링에 대한 연구를 진행하였다. 먼저 n-type Si 기판을 표준 RCA 세정한 다음, RF sputter를 사용하여 두께가 Ta2O5/SiO2 = 50/0, 50/5, 50/10, 25/10, 25/5 nm인 적층구조의 게이트 절연막을 형성하였다. 다음으로 Al 게이트 전극을 150 nm의 두께로 증착한 다음, 전기적 특성 개선을 위하여 furnace N2 분위기에서
$400^{\circ}C$ 로 30분간 후속 열처리를 진행하여 MOS capacitor 소자를 제작하였고, I-V 및 C-V 측정을 통하여 형성된 게이트 절연막의 전기적 특성을 평가하였다. 그 결과, Ta2O5/SiO2 = 50/0, 50/5, 50/10 nm인 게이트 절연막들은 누설전류는 낮지만, 큰 용량을 얻을 수 없었다. 한편, Ta2O5/SiO2 = 25/10, 25/5 nm의 조합에서는 충분한 용량을 확보할 수 있었다. 적층된 게이트 절연막의 유전상수는 25/5 nm, 25/10 nm 각각 8.3, 7.6으로 비슷하였지만, 문턱치 전압(VTH)은 각각 -0.64 V, -0.18 V로 25/10 nm가 0 V에 보다 근접한 값을 나타내었다. 한편, 누설전류는 25/10 nm가 25/5 nm보다 약 20 nA (@5 V) 낮은 것을 확인할 수 있었으며 절연파괴전압(breakdown voltage)도 증가한 것을 확인하였다. 결론적으로 Ta2O5/SiO2 적층 절연막의 두께가 25nm/10nm에서 최적의 특성을 얻을 수 있었으며, 본 실험과 같이 게이트 절연막 엔지니어링을 통하여 효과적으로 누설전류를 줄이고 게이트 용량을 증가시킴으로써 고집적화된 소자의 제작에 유용한 기술로 기대된다. -
최근 고화질 및 대용량 영상의 등장으로 메모리 디바이스에 대한 연구가 활발하다. 메모리 디바이스의 oxide 층은 tunnel layer, trap layer와 blocking layer로 나누어지며, tunnel layer와 trap layer 사이 계면의 상태는 메모리 특성에 큰 영향을 준다. 한편, AlOx는 메모리 디바이스의 tunnel layer에 주로 적용되는 물질로서, AlOx를 형성하는 방법에는 진공공정을 이용하여 증착하는 방법과 알루미늄을 산화시켜 형성하는 방법이 있다. 그 중, 진공공정 방법인 RF 스퍼터를 이용하는 방법은 증착시 sputtering으로 인하여 표면에 손상을 주게 되어, 산화시켜 형성한 AlOx에 비해 막질이 좋지 않다는 단점이 있다. 따라서 본 연구에서는 우수한 막질의 메모리 디바이스를 제작하기 위하여 산화시켜 형성한 AlOx를 tunnel layer로 적용시킨 MOSCAP을 제작하여 메모리 특성을 평가하였다. 제작된 소자는 n-Si (1-20 ohm-cm) 기판을 사용하였다. Tunnel layer는 e-beam evaporator를 이용하여 Al을 5 nm 두께로 증착하고 퍼니스를 이용하여 O2 분위기에서
$300^{\circ}C$ 의 온도로 1시간 동안 산화시켜 AlOx을 형성하였으며, 비교군으로 RF 스퍼터를 이용하여 AlOx를 10 nm 두께로 증착한 소자를 같이 제작하였다. 순차적으로, trap layer와 blocking layer는 RF 스퍼터를 이용하여 각각 HfOx 30 nm와 SiOx 30 nm를 증착하였다. 마지막으로 전극 물질로는 Al을 e-beam evaporator를 이용하여 150 nm 두께로 증착하였다. 제작된 소자에서 메모리 측정을 한 결과, 같은 크기의 윈도우를 비교하였을 때 산화시킨 AlOx를 tunnel layer로 적용한 MOSCAP에서 더 적은 전압으로도 program 동작이 나타나는 것을 확인하였다. 또한 내구성을 확인하기 위해 program/erase를 103회 반복하여 endurance를 측정한 결과, 스퍼터로 증착한 AlOx를 적용한 MOSCAP에서는 24 %의 메모리 윈도우 감소가 일어난 반면에, 산화시킨 AlOx를 적용한 MOSCAP에서는 메모리 윈도우 감소가 5 % 미만으로 일어났다. 결과적으로 산화시킨 AlOx를 메모리소자의 tunnel layer로 적용한 MOSCAP에서 더 뛰어난 내구성을 나타냈으며, 추후 최적의 oxide 두께와 열처리 조건을 통해 더 뛰어난 메모리 특성을 가지는 메모리 디바이스 제작이 가능할 것으로 기대된다. -
플래시 메모리 (flash memory)는 DRAM(dynamic racdom access memory)이나 SRAM(static random access memory)에 비해 소자의 구조가 매우 단순하기 때문에 집적도가 높아서 기기의 소형화가 가능하다는 점과 제조비용이 낮다는 장점을 가지고 있다. 또한, 전원을 차단하면 정보가 사라지는 DRAM이나 SRAM과 달리 전원이 꺼지더라도 저장된 정보가 지워지지 않는다는 특징을 가지고 있어서 ROM(read only memory)과 정보의 입출력이 자유로운 RAM의 장점을 동시에 가지기 때문에 활용도가 크다. 또한, 속도가 빠르고 소비전력이 작아서 USB 드라이브, 디지털 TV, 디지털 캠코더, 디지털 카메라, 휴대전화, 개인용 휴대단말기, 게임기 및 MP3 플레이어 등에 널리 사용되고 있다. 특히, 낸드(NAND)형의 플래시 메모리는 고집적이 가능하며 하드디스크를 대체할 수 있어 고집적 음성이나 화상 등의 저장용으로 많이 쓰이며 일정량의 정보를 저장해두고 작업해야 하는 휴대형 기기에도 적합하며 가격도 노어(NOR)형에 비해 저렴하다는 장점을 가진다. 최근에는 smart watch, wearable device 등과 같은 차세대 디스플레이 소자에 대한 관심이 증가함에 따라 투명하고 유연한 메모리 소자에 대한 연구가 다양하게 진행되고 있으며 유리나 플라스틱과 같은 기판 위에서 투명한 플래시 메모리를 형성하는 기술에 대한 관심이 높아지고 있다. 전하트랩형 (charge trap type) 플래시 메모리는 플로팅 게이트형 플래시 메모리와는 다르게 정보를 절연막 층에 저장하므로 인접 셀간의 간섭이나 소자의 크기를 줄일 수 있기 때문에 투명하고 유연한 메모리 소자에 적용이 가능한 차세대 플래시 메모리로 기대되고 있다. 전하트랩형 플래시메모리는 정보를 저장하기 위하여 tunneling layer, trap layer, blocking layer의 3층으로 이루어진 게이트 절연막을 가진다. 전하트랩 플래시 메모리는 게이트 전압에 따라서 채널의 전자가 tunnel layer를 통해 trap layer에 주입되어 정보를 기억하게 되는데, trap layer에 주입된 전자가 다시 채널로 빠져나가는 charge loss 현상이 큰 문제점으로 지적된다. 따라서 tunnel layer의 막질향상을 위한 다양한 열처리 방법들이 제시되고 있으며, 기존의 CTA (conventional thermal annealing) 방식은 상대적으로 높은 온도와 긴 열처리 시간을 가지고, RTA (rapid thermal annealing) 방식은 매우 높은 열처리 온도를 필요로 하기 때문에 플라스틱, 유리와 같은 다양한 기판에 적용이 어렵다. 따라서 본 연구에서는 기존의 열처리 방식보다 에너지 전달 효율이 높고, 저온공정 및 열처리 시간을 단축시킬 수 있는 마이크로웨이브 열처리(microwave irradiation, MWI)를 도입하였다. Tunneling layer, trap layer, blocking layer를 가지는 MOS capacitor 구조의 전하트랩형 플래시 메모리를 제작하여 CTA, RTA, MWI 처리를 실시한 다음, 전기적 특성을 평가하였다. 그 결과, 마이크로웨이브 열처리를 실시한 메모리 소자는 CTA 처리한 소자와 거의 동등한 정도의 우수한 전기적인 특성을 나타내는 것을 확인하였다. 따라서, MWI를 이용하면 tunnel layer의 막질을 향상시킬 뿐만 아니라, thermal budget을 크게 줄일 수 있어 차세대 투명하고 유연한 메모리 소자 제작에 큰 기여를 할 것으로 예상한다.
-
최근 고해상도 디스플레이가 주목받으면서 기존 비정질 실리콘(a-Si)을 대체할 수 있는 재료에 관한 연구가 활발히 진행되고 있다. a-Si의 경우 간단한 공정 과정, 적은 생산비용, 대면적화가 가능하다는 장점이 있지만 전자 이동도가 매우 낮은 단점이 있다. 반면, 산화물 반도체는 비정질 상태에서 전자 이동도가 높으며 큰 밴드갭을 가지고 있어 투명한 특성을 나타낼 뿐만 아니라, 저온공정이 가능하여 기판의 제한이 없는 장점을 가지고 있다. 대표적으로 가장 널리 연구되고 있는 산화물 반도체는 a-IGZO(amorphous indium-gallium-zinc oxide)이다. 그러나 InZnO(IZO) 기반의 산화물 반도체에서 carrier suppressor 역할을 하는 Ga(gallium)은 수요에 대한 공급이 원활하지 못하여 비싸다는 단점이 있다. 그러므로 경제적이면서 a-IGZO와 유사한 전기적 특성을 나타낼 수 있는 suppressor 물질이 필요하다. 따라서 본 연구에서는 IZO 기반의 산화물 반도체에서 Ga을 Hf(hafnium), Zr(zirconium), Si(silicon)으로 대체하여 용액증착(solution-deposition) 공정으로 각각의 채널층을 형성한 back-gate type의 박막 트랜지스터(thin-film transistor, TFT) 소자를 제작하였다. 용액증착 공정은 물질의 비율을 자유롭게 조절할 수 있고, 대기압의 조건에서도 공정이 가능하기 때문에 짧은 공정시간과 저비용의 장점이 있다. 제작된 소자는 p-type Si 위에 게이트 절연막으로 100 nm의 열산화막이 성장된 기판을 사용하였다. 표준 RCA 클리닝 후에 각 solution 물질을 spin coating 방식으로 증착하였다. 이후, photolithography, develop, wet etching의 과정을 거쳐 채널층 패턴을 형성하였다. 또한, 산화물 반도체의 전기적 특성을 향상시키기 위해서 후속 열처리 과정(post deposition annealing, PDA)은 필수적이다. CTA 방식은 높은 열처리 온도와 긴 열처리 시간의 단점이 있다. 따라서, 본 연구에서는
$100^{\circ}C$ 이하의 낮은 온도와 짧은 열처리 시간의 장점을 가지는 MWI (microwave irradiation)를 후속 열처리로 진행하였다. 그 결과, 각 물질로 구현된 소자들은 기존 a-IGZO와 비교하여 적은 양의 carrier suppressor로도 우수한 전기적 특성 및 안정성을 얻을 수 있었다. 따라서, Si, Hf, Zr 기반의 산화물 반도체는 기존의 Ga을 대체하여 저비용으로 디스플레이를 구현할 수 있는 IZO 기반 재료로 기대된다. -
최근 반도체 시장에서는 저비용으로 고성능 박막 트랜지스터(TFT)를 제작하기 위한 다양한 기술들이 연구되고 있다. 먼저, 재료적인 측면에서는 비정질 상태에서도 높은 이동도와 가시광선 영역에서 투명한 특성을 가지는 산화물 반도체가 기존의 비정질 실리콘이나 저온 폴리실리콘을 대체하여 차세대 디스플레이의 구동소자용 재료로 많은 주목받고 있다. 또한, 공정적인 측면에서는 기존의 진공장비를 이용하는 PVD나 CVD가 아닌 대기압 상태에서 이루어지는 용액 공정이 저비용 및 대면적화에 유리하고 프리커서의 제조와 박막의 증착이 간단하다는 장점을 가지기 때문에 활발한 연구가 이루어지고 있다. 특히 산화물 반도체 중에서도 indium-gallium-zinc oxide (IGZO)는 비교적 뛰어난 이동도와 안정성을 나타내기 때문에 많은 연구가 진행되고 있지만, 산화물 반도체 기반의 박막 트랜지스터가 가지는 문제점 중의 하나인 문턱전압의 불안정성으로 인하여 상용화에 어려움을 겪고 있다. 따라서, 본 연구에서는 기존의 산화물 반도체의 불안정한 문턱전압의 문제점을 해결하기 위해 마이크로웨이브 열처리를 적용하였다. 또한, 기존의 IGZO에서 suppressor 역할을 하는 값비싼 갈륨(Ga) 대신, 저렴한 지르코늄(Zr)과 하프늄(Hf)을 각각 적용시켜 용액 공정 기반의 Zr-In-Zn-O (ZIZO) 및 Hf-In-Zn-O (HIZO) TFT를 제작하여 시간에 따른 문턱 전압의 변화를 비교 및 분석하였다. TFT 소자는 p-Si 위에 습식산화를 통하여 100 nm 두께의
$SiO_2$ 가 열적으로 성장된 기판 위에 제작되었다. 표준 RCA 세정을 진행하여 표면의 오염 및 자연 산화막을 제거한 후, Ga, Zr, Hf 각각 suppressor로 사용한 IGZO, ZIZO, HIZO 프리커서를 이용하여 박막을 형성시켰다. 그 후 소스/드레인 전극 형성을 위해 e-beam evaporator를 이용하여 Ti/Al을 5/120 nm의 두께로 증착하였다. 마지막으로, 후속 열처리로써 마이크로웨이브와 퍼니스 열처리를 진행하였다. 그 결과, 기존의 퍼니스 열처리와 비교하여 마이크로웨이브 열처리된 IGZO, ZIZO 및 HIZO 박막 트랜지스터는 모두 뛰어난 안정성을 나타냄을 확인하였다. 결론적으로, 본 연구에서 제안된 마이크로웨이브 열처리된 용액공정 기반의 ZIZO와 HIZO 박막 트랜지스터는 추후 디스플레이 산업에서 IGZO 박막 트랜지스터를 대체할 수 있는 저비용 고성능 트랜지스터로 적용될 것으로 기대된다. -
최근에 charge trap flash (CTF) 기술은 절연막에 전하를 트랩과 디트랩 시킬 때 인접한 셀 간의 간섭현상을 최소화하여 오동작을 줄일 수 있으며 낸드 플래시 메모리 소자에 적용되고 있다. 낸드 플래시 메모리는 고집적화, 대용량화와 비휘발성 등의 장점으로 인해 핸드폰, USB, MP3와 컴퓨터 등에 이용되고 있다. 기존의 실리콘 기반의 플래시 메모리 소자는 좁은 밴드갭으로 인해 투명하지 않고 고온에서의 공정이 요구되는 문제점이 있다. 따라서, 이러한 문제점을 개선하기 위해 실리콘의 대체 물질로 산화물 반도체 기반의 플래시 메모리 소자들이 연구되고 있다. 산화물 반도체 기반의 플래시 메모리 소자는 넓은 밴드갭으로 인한 투명성을 가지고 있으며 저온에서 공정이 가능하여 투명하고 유연한 기판에 적용이 가능하다. 다양한 산화물 반도체 중에서 비정질 In-Ga-Zn-O (a-IGZO)는 비정질임에도 불구하고 우수한 전기적인 특성과 화학적 안정성을 갖기 때문에 많은 관심을 받고 있다. 플래시 메모리의 고집적화가 요구되면서 절연막에 high-k 물질을 atomic layer deposition (ALD) 방법으로 적용하고 있다. ALD 방법을 이용하면 우수한 계면 흡착력과 균일도를 가지는 박막을 정확한 두께로 형성할 수 있는 장점이 있다. 또한, high-k 물질을 절연막에 적용하면 높은 유전율로 인해 equivalent oxide thickness (EOT)를 줄일 수 있다. 특히, HfOx와 AlOx가 각각 trap layer와 blocking layer로 적용되면 program/erase 동작 속도를 증가시킬 수 있으며 넓은 밴드갭으로 인해 전하손실을 크게 줄일 수 있다. 따라서 본 연구에서는 ALD 방법으로 AlOx와 HfOx를 게이트 절연막으로 적용한 a-IGZO 기반의 thin-film transistor (TFT) 플래시 메모리 소자를 제작하여 메모리 특성을 평가하였다. 제작 방법으로는, p-Si 기판 위에 열성장을 통한 100 nm 두께의 SiO2를 형성한 뒤, 채널 형성을 위해 RF sputter를 이용하여 70 nm 두께의 a-IGZO를 증착하였다. 이후에 소스와 드레인 전극에는 150 nm 두께의 In-Sn-O (ITO)를 RF sputter를 이용하여 증착하였고, ALD 방법을 이용하여 tunnel layer에 AlOx 5 nm, trap layer에 HfOx 20 nm, blocking layer에 AlOx 30 nm를 증착하였다. 최종적으로, 상부 게이트 전극을 형성하기 위해 electron beam evaporator를 이용하여 platinum (Pt) 150 nm를 증착하였고, 계면 결함을 최소화하기 위해 퍼니스에서 질소 가스 분위기,
$400^{\circ}C$ , 30 분의 조건으로 열처리를 했다. 측정 결과, 103 번의 program/erase를 반복한 endurance와 104 초 동안의 retention 측정으로부터 큰 열화 없이 메모리 특성이 유지되는 것을 확인하였다. 결과적으로, high-k 물질과 산화물 반도체는 고성능과 고집적화가 요구되는 향후 플래시 메모리의 핵심적인 물질이 될 것으로 기대된다. -
Chemical sensors have attracted much attention due to their various applications such as agriculture product, cosmetic and pharmaceutical components and clinical control. A conventional chemical and biological sensor is consists of fluorescent dye, optical light sources, and photodetector to quantify the extent of concentration. Such complicated system leads to rising cost and slow response time. Until now, the most contemporary thin film transistors (TFTs) are used in the field of flat panel display technology for switching device. Some papers have reported that an interesting alternative to flat panel display technology is chemical sensor technology. Recent advances in chemical detection study for using TFTs, benefits from overwhelming progress made in organic thin film transistors (OTFTs) electronic, have been studied alternative to current optical detection system. However numerous problems still remain especially the long-term stability and lack of reliability. On the other hand, the utilization of metal oxide transistor technology in chemical sensors is substantially promising owing to many advantages such as outstanding electrical performance, flexible device, and transparency. The top-gate structure transistor indicated long-term atmosphere stability and reliability because insulator layer is deposited on the top of semiconductor layer, as an effective mechanical and chemical protection. We report on the fabrication of InGaZnO TFTs with silver nanowire as the top gate electrode for the aim of chemical materials detection by monitoring change of electrical properties. We demonstrated that the improved sensitivity characteristics are related to the employment of a unique combination of nano materials. The silver nanowire top-gate InGaZnO TFTs used in this study features the following advantages: i) high sensitivity, ii) long-term stability in atmosphere and buffer solution iii) no necessary additional electrode and iv) simple fabrication process by spray.
-
최근, 플렉서블 광전자소자 제작 기술의 눈부신 발전으로, 기존의 평면형 이미지 센서가 가지고 있는 여러가지 한계를 극복하기 위해 곡면형 이미지 센서 제작에 대한 다양한 연구가 진행되고 있다. 리소그래피, 물질 성장, 도포, 에칭 등의 대부분의 반도체 공정은 평면 기판에 기반한 공정 방법으로 곡면 구조의 이미지 센서를 제작하기에는 많은 어려움이 있다. 본 연구에서는 곡면형 이미지 센서의 제작을 위해 곡면 구조 위에서의 직접적인 공정 대신 평면 기판에서 단결정 실리콘을 이용해 전사 인쇄가 가능하고 수축이 가능한 초박막 구조의 이미지 센서를 제작한 후 이를 떼어내는 방식을 이용하였다. 이온 주입 및 건식 식각 공정을 통해 평면 SOI (Silicon on Insulator) 기판 위에 단일 광다이오드 배열 형태의 소자를 제작한 후 수 차례의 폴리이미드 층 도포 및 스퍼터링을 통한 금속 배선 공정을 통해 초박막 형태의 광 검출기를 완성한다. 이후 습식 식각 및 폴리디메틸실록산(PDMS) 스탬프를 이용한 전사 인쇄 공정을 통해 기판으로부터 디바이스를 분리하여 변형 가능한 형태의 이미지 센서를 얻을 수 있다. 이러한 박막형 이미지 센서는 유연한 재질로 인해 수축 및 팽창, 구부림과 같은 구조적 변형이 가능하게 되어 겹눈 구조 카메라, 튜너블 카메라 등과 같이 기존 방식의 반도체 공정으로는 구현할 수 없었던 다양한 이미징 시스템 개발에 적용될 수 있을 것으로 기대된다.
-
We fabricated an organic-inorganic nano laminated encapsulation layer using molecular layer deposition (MLD) combined with atomic layer deposition (ALD). The
$Al_2O_3$ inorganic layers as an effective single encapsulation layer were deposited at 80 degree C using ALD with alternating surface-saturation reactions of TMA and$H_2O$ . A self-assembled organic layers (SAOLs) were fabricated at the same temperature using MLD. MLD and ALD deposition process were performed in the same reaction chamber. The prepared SAOL-$Al_2O_3$ organic-inorganic nano laminate films exhibited good mechanical stability and excellent encapsulation property. The measurement of water vapor transmission rate (WVTR) was performed with Ca test. We controlled thickness-ratio of organic and inorganic layer, and specific ratio showed a lowest WVTR value. Also this encapsulation layer contained very few pin-holes or defects which were linked in whole area by defect test. To apply into real OLEDs panels, we controlled a film stress from tensile to compressive and flexibility defined as an elastic modulus with organic-inorganic ratio. It has shown that OLEDs panel encapsulated with nano laminate layer exhibits better properties than single layer encapsulated in acceleration conditions. These results indicate that the organic-inorganic nano laminate thin films have high potential for flexible display applications. -
highly doped N-type 실리콘 기판 위에 극초단 펄스 레이저를 이용하여 LIPSS (Laser-Induced Periodic Surface Structure) 패턴을 형성하였다. 형성된 LIPSS 구조는
$15{\mu}m$ 와 500 nm 주기를 가지는 ripple로 형성이 되었고 이 구조를 형성하기 위해서 사용된 레이저는 Satsuma HP2, Amplitude syst?mes 이다. LIPSS 패턴을 가지는 기판 위에는 유기반도체 물질인 pentacene을 50 nm로 열 증착방법을 통해 박막을 형성하여 유기박막트랜지스터를 제작하였고, hole mobility를 측정하였다. LIPSS 패턴을 가지는 실리콘 기판과 pristine 실리콘 기판 위의 pentacene의 morphology를 AFM으로 관찰하고 유도된 구조를 연구하였다. -
터치스크린패널로 응용하기 위하여 80%이상의 높은 투과도와 낮은 저항이 요구된다. 그 중에서도 무반사 효과 (anti-reflective, AR) 를 크게하여 투과도를 향상시키는 방법으로 나노구조물, 증착시 경사각, 다층박막 방법 등이 연구 개발되고 있다. 단일 박막을 이용하여 무반사 코팅을 하는 경우, 정밀한 굴절률 조절이 어려우며 낮은 반사율 영역의 선폭이 좁은 단점이 있다. 반면, 저/고굴절률 다층박막의 경우 비교적 굴절률 조절이 용이하고 가시광영역 전반적으로 높은 투과도를 가질 수 있다. plasma enhanced chemical vapor deposition (PECVD) 증착법을 이용하여 무반사 효과를 증대시키기 위해 저/고굴절률 다층구조의 박막을 두께조합에 따라 평가하였으며, 가장 널리 사용되고 있는 Sputtering증착법과 비교하여 연구하였다. 제작된 다층박막의 구조는 glass(sub.)/SiN/SiO2/ITO 이며, 무반사 코팅층인 SiN/SiO2층은 각각 PECVD와 Sputtering 증착법을 통해 성장되었고, ITO는 스퍼터링 증착법을 이용하여 동일하게 성장하였다. 그 결과 PECVD 증착법이 Sputtering 증착법에 비하여 가시광영역(400~800nm)에서 더 높은 투과도를 얻게 되었다. 결과의 차이에 대해서 PECVD 증착법과 Sputtering 증착법으로 성장된 SiN, SiO2 박막의 광학적 특성과 물리적 특성의 변화를 spectroscopic ellipsometry (SE), Rutherford backscattering (RBS), atomic force microscopy (AFM) 을 이용하여 비교, 분석하였다.
-
Solution-processed metal-oxide semiconductors have been considered as the next generation semiconducting materials for transparent and flexible electronics due to their high electrical performance. Moreover, since the oxide semiconductors show high sensitivity to light illumination and possess persistent photoconductivity (PPC), these properties can be utilized in realizing optical memory devices, which can transport information much faster than the electrons. In previous works, metal-oxide semiconductors are utilized as a memory device by using the light (i.e. illumination does the "writing", no-gate bias recovery the "reading" operations) [1]. The key issues for realizing the optical memory devices is to have high photoconductivity and a long life time of free electrons in the oxide semiconductors. However, mono-layered indium-zinc-oxide (IZO) and mono-layered indium-gallium-zinc-oxide (IGZO) have limited photoconductivity and relaxation time of 570 nA, 122 sec, 190 nA and 53 sec, respectively. Here, we boosted up the photoconductivity and relaxation time using a double-layered IZO/IGZO active layer structure. Solution-processed IZO (top) and IGZO (bottom) layers are prepared on a Si/SiO2 wafer and we utilized the conventional thermal annealing method. To investigate the photoconductivity and relaxation time, we exposed 9 mW/cm2 intensity light for 30 sec and the decaying behaviors were evaluated. It was found that the double-layered IZO/IGZO showed high photoconductivity and relaxation time of 28 uA and 1048 sec.
-
Park, Joon Young;Lee, Gil-Ho;Jo, Janghyun;Cheng, Austin K.;Yoon, Hosang;Watanabe, Kenji;Taniguchi, Takashi;Kim, Miyoung;Kim, Philip;Yi, Gyu-Chul 284
Topological insulator (TI) is a bulk-insulating material with topologically protected Dirac surface states in the band gap. In particular,$Bi_2Se_3$ attracted great attention as a model three-dimensional TI due to its simple electronic structure of the surface states in a relatively large band gap (~0.3 eV). However, experimental efforts using$Bi_2Se_3$ have been difficult due to the abundance of structural defects, which frequently results in the bulk conduction being dominant over the surface conduction in transport due to the bulk doping effects of the defect sites. One promising approach in avoiding this problem is to reduce the structural defects by heteroepitaxially grow$Bi_2Se_3$ on a substrate with a compatible lattice structure, while also preventing surface degradation by encapsulating the pristine interface between$Bi_2Se_3$ and the substrate in a clean growth environment. A particularly promising choice of substrate for the heteroepitaxial growth is hexagonal boron nitride (h-BN), which has the same two-dimensional (2D) van der Waals (vdW) layered structure and hexagonal lattice symmetry as$Bi_2Se_3$ . Moreover, since h-BN is a dielectric insulator with a large bandgap energy of 5.97 eV and chemically inert surfaces, it is well suited as a substrate for high mobility electronic transport studies of vdW material systems. Here we report the heteroepitaxial growth and characterization of high quality topological insulator$Bi_2Se_3$ thin films prepared on h-BN layers. Especially, we used molecular beam epitaxy to achieve high quality TI thin films with extremely low defect concentrations and an ideal interface between the films and substrates. To optimize the morphology and microstructural quality of the films, a two-step growth was performed on h-BN layers transferred on transmission electron microscopy (TEM) compatible substrates. The resulting$Bi_2Se_3$ thin films were highly crystalline with atomically smooth terraces over a large area, and the$Bi_2Se_3$ and h-BN exhibited a clear heteroepitaxial relationship with an atomically abrupt and clean interface, as examined by high-resolution TEM. Magnetotransport characterizations revealed that this interface supports a high quality topological surface state devoid of bulk contribution, as evidenced by Hall, Shubnikov-de Haas, and weak anti-localization measurements. We believe that the experimental scheme demonstrated in this talk can serve as a promising method for the preparation of high quality TI thin films as well as many other heterostructures based on 2D vdW layered materials. -
Lee, Keundong;Tchoe, Youngbin;Yoon, Hosang;Baek, Hyeonjun;Chung, Kunook;Lee, Sangik;Yoon, Chansoo;Park, Bae Ho;Yi, Gyu-Chul 285
Resistive random access memory devices have been widely studied due to their high performance characteristics, such as high scalability, fast switching, and low power consumption. However, fluctuation in operational parameters remains a critical weakness that leads to device failures. Although the random formation and rupture of conducting filaments (CFs) in an oxide matrix during resistive switching processes have been proposed as the origin of such fluctuations, direct observations of the formation and rupture of CFs at the device scale during resistive switching processes have been limited by the lack of real-time large-area imaging methods. Here, a novel imaging method is proposed for monitoring CF formation and rupture across the whole area of a memory cell during resistive switching. A hybrid structure consisting of a resistive random access memory and a light-emitting diode enables real-time monitoring of CF configuration during various resistive switching processes including forming, semi-forming, stable/unstable set/reset switching, and repetitive set switching over 50 cycles. -
Oh, Hongseok;Jo, Janghyun;Yoon, Hosang;Tchoe, Youngbin;Kim, Sung-Soo;Kim, Miyoung;Sohn, Byeong-Hyeok;Yi, Gyu-Chul 286
Hexagonal boron nitride (hBN) is a dielectric insulator with a two-dimensional (2D) layered structure. It is an appealing substrate dielectric for many applications due to its favorable properties, such as a wide band gap energy, chemical inertness and high thermal conductivity[1]. Furthermore, its remarkable mechanical strength renders few-layered hBN a flexible and transparent substrate, ideal for next-generation electronics and optoelectronics in applications. However, the difficulty of preparing high quality large-area hBN films has hindered their widespread use. Generally, large-area hBN layers prepared by chemical vapor deposition (CVD) usually exhibit polycrystalline structures with a typical average grain size of several microns. It has been reported that grain boundaries or dislocations in hBN can degrade its electronic or mechanical properties. Accordingly, large-area single crystalline hBN layers are desired to fully realize the potential advantages of hBN in device applications. In this presentation, we report the growth and transfer of centimeter-sized, nearly single crystal hexagonal boron nitride (hBN) few-layer films using Ni(111) single crystal substrates. The hBN films were grown on Ni(111) substrates using atmospheric pressure chemical vapor deposition (APCVD). The grown films were transferred to arbitrary substrates via an electrochemical delamination technique, and remaining Ni(111) substrates were repeatedly re-used. The crystallinity of the grown films from the atomic to centimeter scale was confirmed based on transmission electron microscopy (TEM) and reflection high energy electron diffraction (RHEED). Careful study of the growth parameters was also carried out. Moreover, various characterizations confirmed that the grown films exhibited typical characteristics of hexagonal boron nitride layers over the entire area. Our results suggest that hBN can be widely used in various applications where large-area, high quality, and single crystalline 2D insulating layers are required. -
본 실험에서는 미래에 지향하는 플렉서블한 소자 제작에 기존의 소자는 한계를 지니고 있기 때문에 기존 소자 제작에 쓰이는 물질보다 투명하고 유연한 성질을 지닌 그래핀(Graphene), 질화붕소(h-BN)와 황화몰리브덴(MoS2)을 이용하여 소자를 제작하였다. 2차원 물질만을 이용한 소자를 제작함으로써 기존 실리콘 기반의 소자가 가질 수 없었던 투명함과 유연성을 보여주었다. 또한 높은 수준의 on/off ratio를 보여줌으로써 2차원 물질에 기반한 플렉서블 소자 제작의 가능성을 확인할 수 있었다.
-
Transition metal dichalcogenides (TMDs) with a two-dimensional layered structure have been considered highly promising materials for next-generation flexible, wearable, stretchable and transparent devices due to their unique physical, electrical and optical properties. Recent studies on TMD devices have focused on developing a suitable doping technique because precise control of the threshold voltage (
$V_{TH}$ ) and the number of tightly-bound trions are required to achieve high performance electronic and optoelectronic devices, respectively. In particular, it is critical to develop an ultra-low level doping technique for the proper design and optimization of TMD-based devices because high level doping (about$10^{12}cm^{-2}$ ) causes TMD to act as a near-metallic layer. However, it is difficult to apply an ion implantation technique to TMD materials due to crystal damage that occurs during the implantation process. Although safe doping techniques have recently been developed, most of the previous TMD doping techniques presented very high doping levels of${\sim}10^{12}cm^{-2}$ . Recently, low-level n- and p-doping of TMD materials was achieved using cesium carbonate ($Cs_2CO_3$ ), octadecyltrichlorosilane (OTS), and M-DNA, but further studies are needed to reduce the doping level down to an intrinsic level. Here, we propose a novel DNA-based doping method on$MoS_2$ and$WSe_2$ films, which enables ultra-low n- and p-doping control and allows for proper adjustments in device performance. This is achieved by selecting and/or combining different types of divalent metal and trivalent lanthanide (Ln) ions on DNA nanostructures. The available n-doping range (${\Delta}n$ ) on the$MoS_2$ by Ln-DNA (DNA functionalized by trivalent Ln ions) is between$6{\times}10^9cm^{-2}$ and$2.6{\times}10^{10}cm^{-2}$ , which is even lower than that provided by pristine DNA (${\sim}6.4{\times}10^{10}cm^{-2}$ ). The p-doping change (${\Delta}p$ ) on$WSe_2$ by Ln-DNA is adjusted between$-1.0{\times}10^{10}cm^{-2}$ and$-2.4{\times}10^{10}cm^{-2}$ . In the case of Co-DNA (DNA functionalized by both divalent metal and trivalent Ln ions) doping where$Eu^{3+}$ or$Gd^{3+}$ ions were incorporated, a light p-doping phenomenon is observed on$MoS_2$ and$WSe_2$ (respectively, negative${\Delta}n$ below$-9{\times}10^9cm^{-2}$ and positive${\Delta}p$ above$1.4{\times}10^{10}cm^{-2}$ ) because the added$Cu^{2+}$ ions probably reduce the strength of negative charges in Ln-DNA. However, a light n-doping phenomenon (positive${\Delta}n$ above$10^{10}cm^{-2}$ and negative${\Delta}p$ below$-1.1{\times}10^{10}cm^{-2}$ ) occurs in the TMD devices doped by Co-DNA with$Tb^{3+}$ or$Er^{3+}$ ions. A significant (factor of ~5) increase in field-effect mobility is also observed on the$MoS_2$ and$WSe_2$ devices, which are, respectively, doped by$Tb^{3+}$ -based Co-DNA (n-doping) and$Gd^{3+}$ -based Co-DNA (p-doping), due to the reduction of effective electron and hole barrier heights after the doping. In terms of optoelectronic device performance (photoresponsivity and detectivity), the$Tb^{3+}$ or$Er^{3+}$ -Co-DNA (n-doping) and the$Eu^{3+}$ or$Gd^{3+}$ -Co-DNA (p-doping) improve the$MoS_2$ and$WSe_2$ photodetectors, respectively. -
Recently, TCO films with low carrier concentration, high mobility and high work function are proposed beneficial as front electrode in HIT solar cell due to free-carrier absorption in NIR wavelength region and low Schottky barrier height in the front TCO/a-Si:H(p) interface. We report high transmittance and work function zirconium-doped indium tin oxide (ITO:Zr) films with various plasma (Ar/O2 and Ar) conditions. The role of (Ar/O2) plasma was to enhance the work function of the ITO:Zr films whereas the pure Ar plasma based ITO:Zr showed good electrical properties. The RF magnetron sputtered ITO:Zr films with low resistivity and high transmittance were employed as front electrode in HIT solar cells, yield the best performance of 18.15% with an open-circuit voltage of 710 eV and current density of 34.63 mA/cm2. The high work function ITO:Zr films can be used to modify the front barrier height of HIT solar cell.
-
ITO films doped with a small amount of high-permittivity materials not only retain the basic properties of ITO films but also improve some of their properties. We report the highly conductive and transparent (ITO:Zr) films with various substrate (RT to 300oC) temperatures on glass substrate for the HIT solar cell applications. We observed a decrease in sheet resistance from 36 to
$11.8{\Omega}/{\Box}$ with the increasing substrate temperature from RT to 300oC, respectively. The ITO:Zr films showed also lowest resistivity of$1.38{\times}10-4{\Omega}.cm$ and high mobility of 42.37cm-3, respectively. The surface and grain boundaries are improved with the increase of substrate temperature as shown by SEM and AFM surface morphologies. The highly conductive and transparent ITO:Zr films were employed as front electrode in HIT solar cell and the best performance of device was found to be Voc = 710 mV, Jsc = 33.70 mA/cm2, FF = 0.742,${\eta}=17.76%$ at the substrate temperature of$200^{\circ}C$ . -
SiC는 넓은 에너지갭 (Eg=~3.4 eV)을 갖는 반도체로써, 고전압, 고온에서 동작이 가능하여 기존의 Si기반의 파워디바이스를 대체하기 위한 물질로 많은 연구가 이루어지고 있다. 파워 디바이스의 성능 향상을 위해서는 기판과 절연체 사이의 계면에 생성되는 계면 결함을 감소시켜야 한다. 따라서 본 연구에서는 SiC 기판에 high-k 물질인 HfO2를 증착하여 HfO2/SiC 계면에 유도된 결함을 분석하고 이를 감소시킬 수 있는 방법에 대한 연구를 수행하였다. HfO2 박막은 atomic-layer-deposition (ALD) 방법을 이용하여 SiC 기판 위에
$200^{\circ}C$ 에서 증착하였다. HfO2 박막 증착 후 NH3 분위기에서 rapid thermal annealing 방법을 이용하여$600^{\circ}C$ 에서 1분 동안 열처리 진행하였다. Current-voltage (I-V) 측정을 통해 열처리 전 HfO2/SiC의 절연파괴 전압이 약 8.3 V 임을 확인하였다. NH3 열처리 후 HfO2/SiC의 절연파괴 전압이 10 V로 증가하였으며 누설 전류가 크게 감소하는 것을 확인하였다. 또한 capacitance-voltage (C-V) 측정을 통해 열처리 후 flat band voltage가 negative 방향에서 positive 방향으로 이동함을 확인하였고, 이를 통해 NH3 열처리 방법이 HfO2/SiC 계면에 존재하는 결함을 감소시킬 수 있음을 확인하였다. 전자 구조상의 conduction band edge에 존재하는 결함 준위를 분석하기 위해 x-ray absorption spectroscopy (XAS) 분석을 실시하였고, 열처리 전 HfO2/SiC 계면에 많은 결함 준위가 존재함을 확인하였으며, x-ray photoelectron spectroscopy (XPS) 분석을 통해 이 결함 준위가 oxygen deficiency state과 관련됨을 알 수 있었다. NH3 열처리 후 결과와 비교해보면, oxygen deficiency state가 감소함을 확인하였으며 이로 인해 conduction band edge에 존재하는 결함 준위가 감소함을 알 수 있었다. 따라서, NH3 열처리 방법을 이용하여 HfO2/SiC 계면에 존재하는 결함을 감소시킬 수 있으며, HfO2/SiC의 물리적, 전기적 특성을 향상시킬 수 있다는 결과를 도출하였다. -
Jeon, Minhan;Kang, Jiyoon;Oh, Donghyun;Shim, Gyeongbae;Kim, Shangho;Balaji, Nagarajan;Park, Cheolmin;Song, Jinsoo;Yi, Junsin 308
Reducing surface recombination is a critical factor for high efficiency silicon solar cells. The passivation process is for reducing dangling bonds which are carrier. Tunnel oxide layer is one of main issues to achieve a good passivation between silicon wafer and emitter layer. Many research use wet-chemical oxidation or thermally grown which the highest conversion efficiencies have been reported so far. In this study, we deposit ultra-thin tunnel oxide layer by PECVD (Plasma Enhanced Chemical Vapor Deposition) using$N_2O$ plasma. Both side deposit tunnel oxide layer in different RF-power and phosphorus doped a-Si:H layer. After deposit, samples are annealed at$850^{\circ}C$ for 1 hour in$N_2 $ gas atmosphere. After annealing, samples are measured lifetime and implied Voc (iVoc) by QSSPC (Quasi-Steady-State Photo Conductance). After measure, samples are annealed at$400^{\circ}C$ for 30 minute in$Ar/H_2$ gas atmosphere and then measure again lifetime and implied VOC. The lifetime is increase after all process also implied VOC. The highest results are lifetime$762{\mu}s$ , implied Voc 733 mV at RF-power 200 W. The results of C-V measurement shows that Dit is increase when RF-power increase. Using this optimized tunnel oxide layer is attributed to increase iVoc. As a consequence, the cell efficiency is increased such as tunnel mechanism based solar cell application. -
최근 NAND flash memory는 높은 집적성과 데이터의 비휘발성, 낮은 소비전력, 간단한 입, 출력 등의 장점들로 인해 핸드폰, MP3, USB 등의 휴대용 저장 장치 및 노트북 시장에서 많이 이용되어 왔다. 특히, 최근에는 smart watch, wearable device등과 같은 차세대 디스플레이 소자에 대한 관심이 증가함에 따라 유연하고 투명한 메모리 소자에 대한 연구가 다양하게 진행되고 있다. 대표적인 플래시 메모리 소자의 구조로 charge trapping type flash memory (CTF)가 있다. CTF 메모리 소자는 trap layer의 trap site를 이용하여 메모리 동작을 하는 소자이다. 하지만 작은 window의 크기, trap site의 열화로 인해 메모리 특성이 나빠지는 문제점 등이 있다. 따라서 최근, trap layer에 다양한 물질을 적용하여 CTF 소자의 문제점을 해결하고자 하는 연구들이 진행되고 있다. 특히, 산화물 반도체인 zinc oxide (ZnO)를 trap layer로 하는 CTF 메모리 소자가 최근 몇몇 보고 되었다. 산화물 반도체인 ZnO는 n-type 반도체이며, shallow와 deep trap site를 동시에 가지고 있는 독특한 물질이다. 이 특성으로 인해 메모리 소자의 programming 시에는 deep trap site에 charging이 일어나고, erasing 시에는 shallow trap site에 캐리어들이 쉽게 공급되면서 deep trap site에 갇혀있던 charge가 쉽게 de-trapped 된다는 장점을 가지고 있다. 따라서, 본 실험에서는 산화물 반도체인 ZnO를 trap layer로 하는 CTF 소자의 메모리 특성을 확인하기 위해 간단한 구조인 metal-oxide capacitor (MOSCAP)구조로 제작하여 메모리 특성을 평가하였다. 먼저, RCA cleaning 처리된 n-Si bulk 기판 위에 tunnel layer인 SiO2 5 nm를 rf sputter로 증착한 후 furnace 장비를 이용하여 forming gas annealing을
$450^{\circ}C$ 에서 실시하였다. 그 후 ZnO를 20 nm, SiO2를 30 nm rf sputter로 증착한 후, 상부전극을 E-beam evaporator 장비를 사용하여 Al 150 nm를 증착하였다. 제작된 소자의 신뢰성 및 내구성 평가를 위해 상온에서 retention과 endurance 측정을 진행하였다. 상온에서의 endurance 측정결과 1000 cycles에서 약 19.08%의 charge loss를 보였으며, Retention 측정결과, 10년 후 약 33.57%의 charge loss를 보여 좋은 메모리 특성을 가지는 것을 확인하였다. 본 실험 결과를 바탕으로, 차세대 메모리 시장에서 trap layer 물질로 산화물 반도체를 사용하는 CTF의 연구 및 계발, 활용가치가 높을 것으로 기대된다. -
최근 고성능 디스플레이 개발이 요구되면서 기존 비정질 실리콘(a-Si)을 대체할 산화물 반도체에 대한 연구 관심이 급증하고 있다. 여러 종류의 산화물 반도체 중 a-IGZO (amorphous indium-gallium-zinc oxide)가 높은 전계효과 이동도, 저온 공정, 넓은 밴드갭으로 인한 투명성 등의 장점을 가지며 가장 연구가 활발하게 보고되고 있다. 기존에는 SG(단일 게이트) TFT가 주로 제작 되었지만 본 연구에서는 DG(이중 게이트) 구조를 적용하여 고성능의 a-IGZO 기반 박막 트랜지스터(TFT)를 구현하였다. SG mode에서는 하나의 게이트가 채널 전체 영역을 제어하지만, double gate mode에서는 상, 하부 두 개의 게이트가 동시에 채널 영역을 제어하기 때문에 채널층의 형성이 빠르게 이루어지고, 이는 TFT 스위칭 속도를 향상시킨다. 또한, 상호 모듈레이션 효과로 인해 S.S(subthreshold swing)값이 낮아질 뿐만 아니라, 상(TG), 하부 게이트(BG) 절연막의 계면 산란 현상이 줄어들기 때문에 이동도가 향상되고 누설전류 감소 및 안정성이 향상되는 효과를 얻을 수 있다. Dual gate mode로 동작을 시키면, TG(BG)에는 일정한 positive(or negative)전압을 인가하면서 BG(TG)에 전압을 가해주게 된다. 이 때, 소자의 채널층은 depletion(or enhancement) mode로 동작하여 다른 전기적인 특성에는 영향을 미치지 않으면서 문턱 전압을 쉽게 조절 할 수 있는 장점도 있다. 제작된 소자는 p-type bulk silicon 위에 thermal SiO2 산화막이 100 nm 형성된 기판을 사용하였다. 표준 RCA 클리닝을 진행한 후 BG 형성을 위해 150 nm 두께의 ITO를 증착하고, BG 절연막으로 두께의 SiO2를 300 nm 증착하였다. 이 후, 채널층 형성을 위하여 50 nm 두께의 a-IGZO를 증착하였고, 소스/드레인(S/D) 전극은 BG와 동일한 조건으로 ITO 100 nm를 증착하였다. TG 절연막은 BG 절연막과 동일한 조건에서 SiO2를 50 nm 증착하였다. TG는 S/D 증착 조건과 동일한 조건에서, 150 nm 두께로 증착 하였다. 전극 물질과, 절연막 물질은 모두 RF magnetron sputter를 이용하여 증착되었고, 또한 모든 patterning 과정은 표준 photolithography, wet etching, lift-off 공정을 통하여 이루어졌다. 후속 열처리 공정으로 퍼니스에서 질소 가스 분위기,
$300^{\circ}C$ 온도에서 30 분 동안 진행하였다. 결과적으로$9.06cm2/V{\cdot}s$ , 255.7 mV/dec,$1.8{\times}106$ 의 전계효과 이동도, S.S, on-off ratio값을 갖는 SG와 비교하여 double gate mode에서는$51.3cm2/V{\cdot}s$ , 110.7 mV/dec,$3.2{\times}108$ 의 값을 나타내며 훌륭한 전기적 특성을 보였고, dual gate mode에서는 약 5.22의 coupling ratio를 나타내었다. 따라서 산화물 반도체 a-IGZO TFT의 이중게이트 구조는 우수한 전기적 특성을 나타내며 차세대 디스플레이 시장에서 훌륭한 역할을 할 것으로 기대된다. -
Transparent conductive oxide (TCO) films have many disadvantages, such as rarity, possible exhaustion, process temperature limitations, and brittleness on a flexible substrate. In particular, as display technology moves toward flexible displays, TCO will become completely unsuitable due to its brittleness. To address theses issue, many researchers have been studying TCO substitutes. In recent efforts, metal nanowires, conducting polymers, carbon nanotube networks, graphene films, hybrid thin films, and metal meshes/grids have been evaluated as candidates to replace TCO electrodes. In this study, we fabricated the TCO film with Ag meshes shape using polystyrene (PS) beads monolayer on the substrate. The PS beads were used as a template to create the mesh pattern. We fabricated the monolayer on the flexible substrate (PES) with the well-aligned PS beads. Electrodes with Ag mesh shape were formed using this patterned monolayer. We could fabricated the Ag mesh electrode with the sheet resistance with
$8ohm{\Omega}/{\Box}$ . -
In this paper, the effects of carrier concentration on dielectric constant of ITO films were investigated by spectroscopic ellipsometry. From SE results, we find the pronounced shift of the
${\varepsilon}1$ peaks toward high energy with concentration; while contrarily, the${\varepsilon}2$ values at low energy region increases with decreasing concentration. These shifts are attributed to the Burstein-Moss and free-carrier absorption effects within ITO films. With increases carrier concentration, the values of extinction coefficients show quite different behaviors in range of wavelength from 200 to 1200 nm. The reduction in k at${\lambda}{\leq}500nm$ , while increasing at${\lambda}{\geq}500nm$ was observed. The QE of HJ solar cells behaviors can be roughly classified into two regions: short-wavelengths (${\leq}650nm$ ) and long-wavelengths region (${\geq}650nm$ ). With increasing carrier concentration as well as energy band gap, QE shows improvement at short-wavelength, while at long-wavelength QE shows opposite trend. Widening band gap energy due to Burstein-Moss shift is the key to improve QE in short-wavelength; simultaneously FCA effect due to optical scattering is attributed to the reduction in QE at long-wavelength. In spite of band gap extension, Jsc calculated from QE decreases from 34.7 mA/cm2 to 33.2 mA/cm2 with increasing carrier concentration. It demonstrated that FCA effect may more govern Jsc in the HJ solar cells. -
The hydrogenated amorphous silicon (a-Si:H) thin film solar cells using n/Al or n/Ag/Al back reflector have low short circuit current (Jsc) due to high absorption coefficients of Al or work function difference between n-layer and the metal. In this article, we utilized aluminum doped zinc oxide (AZO) to raise the internal reflectance for the improvement of short current density (Jsc) in a-Si:H thin film solar cells. It was found that there was a slight increase in the reflectance in the long wavelength range at the process temperature of 125oC due to improved crystalline quality of the AZO back reflector. The optical band gap (Eg) and work function were affected by the temperature and so did the internal reflectance. The increased internal reflectance within the solar cell resulted in Jsc of 14.94 mA/cm2 and the efficiency of 8.84%. Jsc for the cell without back reflector was 12.29 mA/cm2.
-
이 연구에서는 산화막/산화막/산화막 적층구조의 블로킹산화막/전하저장층/터널링산화막과 InSnZnO를 채널층으로 이용한 비휘발성 메모리 (NVM) 소자의 메모리 특성을 확인하였다. NVM 소자의 기본 전기적 특성의 경우
$19.8cm2/V{\cdot}s$ 의 높은 전계효과 이동도, 0.09V의 낮은 문턱전압, 0.127 V/dec의 낮은 기울기 및$1.47{\times}107$ 의 높은 전류점멸비를 나타내었다. 또한, InSnZnO의 경우 가시광영역에서 85% 이상의 투과도를 가짐을 확인하였다. NVM소자의 경우, +12V의 Programming과 1ms의 Programming duration time에서 104s 이후 86%이상의, 그리고 10년 후 67% 이상의 우수한 전하보유시간 특성을 나타내었다. 이를 통해 투명플렉서블 메모리 시스템에 산화막/산화막/산화막 적층구조의 InSnZnO NVM소자의 응용 가능성이 높다고 판단한다. -
Nanocrystalline Carbon thin films have numerous applications in different areas such as mechanical, biotechnology and optoelectronic devices due to attractive properties like high excellent hardness, low friction coefficient, good chemical inertness, low surface roughness, non-toxic and biocompatibility. In this work, we studied the comparison of pure DC power and pulsed DC power in plasma sputtering process of carbon thin films synthesis. Using a close field unbalanced magnetron sputtering system, films were deposited on glass and Si wafer substrates by varying the power density and pulsed DC frequency variations. The plasma characteristics has been studied using the I-V discharge characteristics and optical emission spectroscopy. The films properties were studied using Raman spectroscopy, Hall effect measurement, contact angle measurement. Through the Raman results, ID/IG ratio was found to be increased by increasing either of DC power density and pulsed DC frequency. Film deposition rate, measured by Alpha step measurement, increased with increasing DC power density and decreased with pulsed DC frequency. The electrical resistivity results show that the resistivity increased with increasing DC power density and pulsed DC frequency. The film surface energy was estimated using the calculated values of contact angle of DI water and di-iodo-methane. Our results exhibit a tailoring of surface energies from 52.69 to
$55.42mJ/m^2$ by controlling the plasma parameters. -
한경오염의 증가에 따라 광촉매 물질을 이용한 환경 정화의 필요성이 대두되고 있다 [1]. 광촉매와 전기화학셀은 빛을 이용하여 다른 에너지를 생산하는 능력을 가지고 있다. 이 전기화학셀의 성능향상을 위해서는 적절한 밴드갭을 이용한 광흡수의 증가, 전자재결합의 감소, 전기화학적 반응 표면의 증가가 필요하다. 산화 아연은 잘 알려진 n형 산화물 반도체로서 좋은 전기적 특성과 광촉매 성능으로 전기화학셀에 적합한 소재이다. 그러나 산화 아연은 액체 전해물질 상에서 안정성이 좋지 못하다 [2]. 이를 해결하기 위해 단층 그래핀 혹은 풀러렌(C60)을 이용하여 산화아연을 코팅하는 방법을 제안하였는데, 풀러렌을 사용 시 단층 그래핀에 비하여 전기화학셀의 전기화학적 반응은 높았으나 안정성은 더 떨어지는 모습을 보였다 [3]. 본 연구에서는 다층 그래핀을 이용하여 전기화학적 반응도 높고 안정성도 높은 산화아연-다층 그래핀 양자점의 합성 및 이를 이용한 전기화학셀 소자의 특성을 연구하였다. X선 회절법, 라만 분광법, 투과 전자 현미경, 광발광 분광기, 시간-분해성 광발광 분광기를 이용하여 산화아연-다층 그래핀 양자점의 특성을 분석하였고, 이를 이용하여 광양극을 제작하여 전기화학적 특성을 관측하였으며 로다민 B 염료를 이용한 분해 테스트를 통하여 광촉매 성능을 확인하였고 사이클 테스트를 통하여 안정성을 확인하였다.
-
Phase change memory (PCM) has attracted much attention as one of the most promising candidates for next-generation nonvolatile memory. In that regard, the purposes of the study are to propose reference of effective pulse parameter to control phase switching operation and to invest the effect of nitrogen doped in PCM materials for improved cycling stability and economic energy consumption. Switching operation of PCM is affected by electric pulse parameter and as shown in figure.1 are composed to RT(rising time), ST(setting time), FT(falling time) and the effect of these parameter was precisely investigated. Transmission electron microscope (TEM) was used to confirm fine structure and retention cycle test was conducted to confirm reliability. Finally improvement reliability and economic power consumption in quantitatively are obtainable by optimum pulse parameter and nitrogen doping in GST material. these study is related to the engineering background of other semiconductor industries and it have confirmed to possibility further applications.
-
솔루션 공정을 이용하여 제작된 유기물 나노 입자를 포함한 유기물 나노 복합재료를 기반으로 제작한 비휘발성 메모리 소자들은 저렴한 가격, 간단한 공정, 저전력 소비의 장점으로 차세대 메모리 소자로서 많은 연구가 진행 되고 있다. 비휘발성 메모리 소자는 poly(3-hexylthiophene) (P3HT) 층과 polymethylsilsesquioxane (PMSSQ)와 graphene quantum dots (GQD)를 혼합한 층을 사용 하여 구성하였다. 세척된 indium-tin-oxide (ITO) 기판 위에 혼합된 PMSSQ/GQD를 스핀코팅 방법으로 증착한 후 열처리 하였다. Chlorobenzene 속에서 혼합된 P3HT를 스핀코팅 방법으로 증착한 후 열처리 하였다. 알루미늄 전극을 상부 전극으로 증착하였다. 제작된 소자의 300 K에서의 전류-전압을 측정 결과는 윈도우 마진이 크게 나오는 것을 알 수 있었다. 누설전류의 감소와 내구성 및 유지성에 대한 성질을 특정한 결과 소자가 안정적으로 동작하는 것을 확인할 수 있었다.
-
In this study, we have investigated indium tin zinc oxide (ITZO) as an active channel for non-volatile memory (NVM) devices. The electrical and memory characteristics of NVM devices using multi-stack gate insulator SiO2/SiOx/SiOxNy (OOxOy) with Si-rich SiOx for charge storage layer were also reported. The transmittance of ITZO films reached over 85%. Besides, ITZO-based NVM devices showed good electrical properties such as high field effect mobility of 25.8 cm2/V.s, low threshold voltage of 0.75 V, low subthreshold slope of 0.23 V/dec and high on-off current ratio of
$1.25{\times}107$ . The transmission Fourier Transform Infrared spectroscopy of SiOx charge storage layer with the richest silicon content showed an assignment at peaks around 2000-2300 cm-1. It indicates that many silicon phases and defect sources exist in the matrix of the SiOx films. In addition, the characteristics of NVM device showed a retention exceeding 97% of threshold voltage shift after 104 s and greater than 94% after 10 years with low operating voltage of +11 V at only 1 ms programming duration time. Therefore, the NVM fabricated by high transparent ITZO active layer and OOxOy memory stack has been applied for the flexible memory system. -
Large-area graphene films produced by means of chemical vapor deposition (CVD) are polycrystalline and thus contain numerous grain boundaries that can greatly degrade their performance and produce inhomogeneous properties. A better grain boundary engineering in CVD graphene is essential to realize the full potential of graphene in large-scale applications. Here, we report a defect-selective atomic layer deposition (ALD) for stitching grain boundaries of CVD graphene with ZnO so as to increase the connectivity between grains. In the present ALD process, ZnO with hexagonal wurtzite structure was selectively grown mainly on the defect-rich grain boundaries to produce ZnO-stitched CVD graphene with well-connected grains. For the CVD graphene film after ZnO stitching, the inter-grain mobility is notably improved with only a little change in free carrier density. We also demonstrate how ZnO-stitched CVD graphene can be successfully integrated into wafer-scale arrays of top-gated field effect transistors on 4-inch Si and polymer substrates, revealing remarkable device-to-device uniformity.
-
투명전극은 디스플레이, 터치스크린, 태양전지 등 폭넓은 분야에서 응용되고 있어 현재 각광 받는 연구 주제 중 하나이다. 특히, ITO(인듐산화물)을 이용한 투명전극은 뛰어난 효율성 때문에 가장 주목 받고 있는 전극 형태 중 하나이다. 그러나 ITO투명전극은 인듐 소재의 희소성으로 인한 자원고갈문제 및 복원력, 투명도 등에서 취약점을 지니고 있는 것으로 보고되어 있다. 이러한 ITO 투명전극의 취약점을 보완하고, 동시에 플렉서블 디스플레이(Flexible Display) 소자에 적용 가능한 대체 투명전극에 관한 연구는 현재 가장 주목할 만한 가치가 있는 연구분야로 부각되고 있다. 본 연구에서는 대체 투명전극 중 하나로 그래핀 투명전극(Graphene Transparent Electrode)을 주목했다. PEN(Polyethylene Naphthalate) 투명기판 상에 Wet-Transfer형식으로 그래핀을 전사하여 그래핀 투명전극을 구현했으며, 복원력 확인을 위해 그래핀에 2가지 (Compressive/Tensile) 압력을 가하며 구부러짐 실험(Bending Test)을 진행하며 그래핀 투명전극의 저항값을 측정했다. 일반 금속전극의 경우, 일정한 수준 이상의 압력 또는 구부러짐이 반복되는 실험의 횟수가 증가되면 원래의 복원력을 상실하며, 저항값이 상승하는 것으로 보고된바 있다. 그러나 이번 연구에서는 그래핀 투명전극을 사용해 PEN 기판 위에 투명전극을 제작한 경우, 일정한 수준의 구부러짐 반복횟수(~1,000회) 및 구부러짐 정도(~10%) 하에서 저항값이 일정하게 유지됨을 확인할 수 있었다. 별도로, 기존에 알려져 있던 순수 그래핀(Pristine Graphene)의 취약점 중 하나인 높은 저항값을 우려하여 본 연구에서는 그래핀에 도핑을 하고, 그 영향을 분석해 보았다. 그 동안 그래핀 도핑법에 대한 적지않은 연구들이 진행되었으며, 본 연구에서는 TFSA(Bis(trifluoromethanesulfonyl)amide)라는 물질을 이용한 그래핀 도핑법을 채택했다. 실험 결과, 도핑된 그래핀 투명전극은 위와 같은 수준의 그래핀 본연의 복원력을 유지하면서 저항값은 순수 그래핀 대비 약 70% 정도 낮아짐을 확인할 수 있었다. 본 연구를 통해 그래핀 투명전극이 그래핀 고유의 특성인 높은 투명도와 복원력, 도핑으로 인한 저항값 감소가능성을 확인함으로써, 그래핀 투명전극이 ITO 투명전극의 좋은 대체자가 될 수 있는 가능성을 확인할 수 있었다.
-
현재까지 가장 높은 광전류 변환 효율을 나타내는 III-V 화합물 반도체의 다중접합 태양전지 대신 이보다 단순한 에피구조를 가진 단일셀 이종접합구조의 태양전지를 제안하였다. 이를 한국나노 기술원에서 MOCVD(Metalorganic Vapour Phase Epitaxy) 장비를 이용하여 에피구조를 성장하고 태양 전지를 제작해 그 특성을 조사하였다. 태양 전지는 서로 다른 orientation의 두 GaAs 기판에 각각 동일한 에피 구조로 성장되었다. GaAs 기판은 Si 도핑된 n-type 기판으로 (100) 표면이 <111>A 방향으로 2도 off 된 웨이퍼와 10도 off 된 웨이퍼가 사용되었다. 연구에서 시뮬레이션에 사용된 태양전지의 에피 구조는 맨 위 p-GaAs (p-contact 층), p-InAlP, p-InGaP의 광흡수층과 N-InAlGaP 층과 아래의 n-InAlP와 n-GaAs의 n-contact층으로 이루어져있다.태양전지는
$5mm{\times}5mm$ 의 면적을 가지고 있다. 그림 1은 전류-전압의 측정된 결과를 나타낸 그래프이다. 태양전지는 1 sun 조건하에서 probe를 이용해 측정되었다. 2도 off GaAs 기판 위에 성장시킨 태양전지에서는 3.7mA의 단락전류값이, 10도$^{\circ}$ off 인 샘플에서는 4.7mA의 단락전류값이 측정되었다. 반면에 전류-전압곡선으로부터 얻은 10도 off 인 태양전지의 직렬 저항값은 2도 off 인 태양전지의 약4배 정도로 나타났다. 이는 기판의 결정방향에 따라 태양전지의 내부 전하 transport에 차이가 있음을 나타낸다. TLM (Transmission Line Model) 방법에 의한 p-contact의 ohmic저항 측정에서도 이와 일치하는 결과를 얻었다. -
We have investigated magneto-transport properties in a MoS2 lateral spin-valve structures for different ferromagnetic CoFe electrode shapes and MoS2 channel lengths. For these devices, high quality and large-scale MoS2 thin films were synthesized through sulfurization of epitaxial MoO3 films and these sulfurized-MoO3 thin films properties are in good agreements with measurements on exfoliated MoS2 film. Magneto-transport measurements show a clear rectangular magnetoresistance signal of 0.16% and a spin polarization of 0.00012%. By using the one-dimensional spin diffusion equation, we extracted the spin diffusion length and coefficient, finding them to be 12 nm and
$1.44{\times}10-3cm2/s$ , respectively. These small values of magnetoresistance and spin polarization could be enhanced by appeasement of conductivity mismatch between the ferromagnet and semiconductor interface. -
We have prepared alumina (Al2O3) doped zinc oxide (AZO) films by DC magnetron sputtering (MS) technique and obtained higher self surface texturing at a high target angle (f). We have characterized the films and applied it as a front electrode of a single junction amorphous silicon solar cell. At a lower f the deposited films show higher values of optical gap (Eg), charge carriers mobility & concentration, crystallite grain size and wider wavelength range of transmission. At higher target angle the sheet resistance, surface roughness, haze factor etc for the films increase. For f=72.5o the haze factor for diffused transmission becomes 6.46% at 540 nm wavelength. At f=72.5o the material shows a reduction in crystallinity and evolution of a hemispherical-type sub-micron surface textures. A Monte Carlo method (MCM) of simulation of the AZO film deposition shows that such an enhanced self-surface texturing of the films at higher f is possible.
-
The discovery of light emission in nanostructured silicon has opened up new avenues of research in nano-silicon based devices. One such pathway is the application of silicon quantum dots in advanced photovoltaic and light emitting devices. Recently, there is increasing interest on the silicon quantum dots (c-Si QDs) films embedded in amorphous hydrogenated silicon-nitride dielectric matrix (a-SiNx: H), which are familiar as c-Si/a-SiNx:H QDs thin films. However, due to the limitation of the requirement of a very high deposition temperature along with post annealing and a low growth rate, extensive research are being undertaken to elevate these issues, for the point of view of applications, using plasma assisted deposition methods by using different plasma concepts. This work addresses about rapid growth and single step development of c-Si/a-SiNx:H QDs thin films deposited by RF (13.56 MHz) and ultra-high frequency (UHF ~ 320 MHz) low-pressure plasma processing of a mixture of silane (SiH4) and ammonia (NH3) gases diluted in hydrogen (H2) at a low growth temperature (
$230^{\circ}C$ ). In the films the c-Si QDs of varying size, with an overall crystallinity of 60-80 %, are embedded in an a-SiNx: H matrix. The important result includes the formation of the tunable QD size of ~ 5-20 nm, having a thermodynamically favorable <220> crystallographic orientation, along with distinct signatures of the growth of${\alpha}$ -Si3N4 and${\beta}$ -Si3N4 components. Also, the roles of different plasma characteristics on the film properties are investigated using various plasma diagnostics and film analysis tools. -
최근 반도체 제조 공정 기술이 발전함에 따라, 나노 영역에서의 열 및 전기 특성에 관련하여 깊이 있는 연구들이 많이 수행되고 있다. 그 중 반도체 기판의 표면 거칠기는 열전도도 및 전하 이동도와 밀접한 관련이 있으며 나노 소자의 특성을 결정짓는 중요한 요소가 된다. 표면이 거친 정도에 따라 포논 산란 작용이 열적 특성에 영향을 미치며 표면 거칠기와 상응하는 포논의 파장은 이를 산란시켜 열전도도를 감소시키는 것으로 보고되었다[1]. 또한, 트랜지스터의 소형화에 따라 수직 전계가 증가하며 그 결과, 표면 거칠기 성분이 표면에서의 전자 및 홀의 이동 특성에 영향을 미친다. 따라서 원자 층 두께의 표면 거칠기의 중요성이 부각되며 이에 대한 물성 연구가 수행되어야 한다. <100> 벌크 실리콘에서 약산 용액인 500-MIF를 이용하여 시간에 따라 dipping을 진행한 후 표면 거칠기의 변화를 profiler (Tencor P-2)로 측정하여 확인하였다. 거칠기는 dipping을 시작한 후 10분부터 18분까지 약
$3{\AA}/min$ 의 변화를 가지는 것으로 관측이 되었다. 또한 Hall measurement system으로 벌크 실리콘에서의 온도에 따른 전하 이동도를 측정하였다. 측정 결과, 300 K일 때 p-type 벌크 실리콘의 전형적인 전하 이동도 값인 약$450cm^2/V{\cdot}s$ 을 얻었으며, 저온에서는 높은 이동도를 가지다가 온도가 증가할수록 이동도가 감소하는 형태를 확인하였다. 서로 다른 표면 거칠기를 가지는 반도체 기판을 저온부터 상온 이상까지 온도의 변화를 주어 그에 따른 전하 이동도를 측정하고 열전도도 및 전하 이동도의 특성을 분석하였다. -
최근 사회적 이슈로 동물실험에 대한 규제가 강화되고 있어 동물실험을 대체할 새로운 방안의 중요성이 부각되고 있다. 이에 따라 현재 동물실험의 대체 방안의 하나로 3D 프린팅 기술을 활용한 3차원으로 배양된 인공장기에 대한 연구가 활발히 진행 중이다. 하지만 실시간으로 세포의 변화를 모니터링 할 수 있는 기술에 대한 연구는 많이 이루어지지 않고 있다. 본 연구에서는 3차원으로 배양된 세포에서 약물반응에 따른 세포변화를 실시간으로 분석할 수 있는 고감도 온도 및 임피던스 측정 바이오센서를 제작하였다. 센서 제작에 앞서 바이오센서로 사용하기 위해서는 세포를 안정적으로 성장시킬 수 있는 물질을 사용해야하며, 반도체공정으로 박막증착이 쉽고 물질변화가 크지 않도록 높은 work function(백금의 work function : 5.12~5.93 eV)을 가져야한다. 또한 온도 및 임피던스 측정을 위해 지표로 사용할 수 있는 TCR(Temperature Coefficient of Resistance)값이 온도에 따라 선형적으로 증가하는 특성을 가져야 한다. 위 조건들을 고려하여 센서물질로 백금을 선정하였다. 박막공정 및 열처리를 통하여 추출된 백금의 TCR은
$2045.9ppm/^{\circ}C$ 의 값을 가졌고, 추출된 백금의 TCR과 관계된 온도센서의 오차범위는$0.01^{\circ}C$ 내에 있다. 이는 실시간으로 세포 변화를 분석할 수 있는 지표로써 활용되며, 고감도의 온도센서로써의 역할을 하기에 충분한 값이다. -
Low dark current (off-current) and high photo current are both essential for a solution processed organic photodetector (OPD) to achieve high photo-responsivity. Currently, most OPDs utilize a bulk heterojunction (BHJ) photo-active layer that is prepared by the one-step deposition of a polymer:fullerene blend solution. However, the BHJ structure is the main cause of the high dark current in solution processed OPDs. It is revealed that the detectivity and spectral responsivity of the OPD can be improved by utilizing a photo-active layer consisting of an interdiffused polymer/fullerene bilayer (ID-BL). This ID-BL is prepared by the sequential solution deposition (SqD) of poly(3-hexylthiophene) (P3HT) and [6,6] phenyl C61 butyric acid methyl ester (PCBM) solutions. The ID-BL OPD is found to prevent undesirable electron injection from the hole collecting electrode to the ID-BL photo-active layer resulting in a reduced dark current in the ID-BL OPD. Based on dark current and external quantum efficiency (EQE) analysis, the detectivity of the ID-BL OPD is determined to be
$7.60{\times}1011$ Jones at 620 nm. This value is 3.4 times higher than that of BHJ OPDs. Furthermore, compared to BHJ OPDs, the ID-BL OPD exhibited a more consistent spectral response in the range of 400 - 660 nm. -
There has been an explosive development of nanocrystal (NC) synthesis and application due to their composition-dependent specific properties. Despite the composition, shape, and size of NCs foremost determine their physicochemical properties, the surface state and molecule conjugation also drastically change their characteristics. To make practical use of NCs, it is a prerequisite to understand the NC surface state and the degree to which they have been modified because the reaction occurs on the interface between the NCs and the surrounding medium. We report in here an analysis method to identify conjugated ligands and their binding states on semiconductor nanocrystals based on their molecular information. Surface science techniques, such as time-of-flight secondary-ion mass spectrometry (ToF-SIMS) and FT-IR spectroscopy, are adopted based on the micro-aggregated sampling method. Typical trioctylphosphine oxide-based synthesis methods of CdSe/ZnS quantum dots (QDs) have been criticized because of the peculiar effects of impurities on the synthesis processes. Since the ToF-SIMS technique provides molecular composition evidence on the existence of certain ligands, we were able to clearly identify the n-octylphosphonic acid (OPA) as a surface ligand on CdSe/ZnS QDs. Furthermore, the complementary use of the ToF-SIMS technique with the FT-IR technique could reveals the OPA ligands' binding state as bidentate complexes.
-
3차원 갭 소자를 제작하고, 제작된 소자를 백시니아 바이러스의 전기화학적 검출에 이용하였다. 3차원 갭 전극은 하층 전극에 포토레지스트를 코팅하여 지지층을 형성한 후, 상층 전극을 부착을 통해 제작하였다. 상하층 전극사이로 분석물질인 ferricynide가 이동 할 수 있도록 유체채널을 도입하였고, 상하층 전극에 각각 산화, 환원 전위를 인가하여 ferricyanide/ferrocyanide에 의한 redox cycling이 일어나는 것을 확인하였다. 이 때, 발생한 redox 신호는 2차원 갭에서의 redox 신호와 비교했을 때 월등히 큰 것을 알 수 있었으며, 증폭된 redox 신호를 기반으로 백시니아 바이러스의 검출에 활용하였다.
-
Nanoscale observation of attachment systems of animals has revealed various exquisite multiscale architectures for essential functions such as gecko's locomotion, beetles' wing fixation, octopuses' sucking and crawling. In particular, the hierarchical 3-dimensional hexanonal nano-architectures in the tree frog's adhesion is known to have the capability of the enhancement of adhesion forces on the wet or rough surfaces due to the conformal contacts against rough surfaces and water-drainable micro channels. Here, we report that tree frog-inspired patches using unique artificial 3-dimensional hexagonal structures can be exploited to form reversibly enhanced adhesion against various highly curved and rough surfaces in dry and wet condition. To investigate the adhesion effect of micro-channels, we changed the arrangement of microstructure and spacing gaps between micro-channels. In addition, we introduced the 3-dimensional hexagonal hierarchical architectures to artificial patches to enhance to conformal contacts on the various rough surfaces such as skin and organs. Using the robust adhesion properties, we demonstrated the self-drainable and comfortable skin-attachable devices which can measure EKG (electrokardiogramme) for in-vitro diagnostics. As a result, bio-inspired programmable nano-architectures can be applied in versatile devices such as, medical patches, skin-attachable electronics etc., which would shed light on future smart, directional and reversible adhesion systems.
-
그래핀(Graphene)은 탄소 원자가 6각 구조로 이루진 2차원 알려진 물질 중 가장 얇은(0.34 nm) 두께의 물질이며 그 밴드구로조 인해 우수한 전자 이동도(
$200000cmV^{-1}s^{-1}$ )를 가지고 있며, 이외에도 기계적, 화학적으로 뛰어난 특성을 가진다. 대면적화 된 그래핀을 성장시키기 위한 방법으로는 화학적 기상 증착법(Chemical Vapor Deposition)이 있다. 하지만 실제 여러 전이금속에서 합성되는 그래핀은 다결정으로, 서로 다른 면 방향을 가진 계면에서 전자의 산란이 일어나며, 고유의 우수한 특성이 저하되게 된다. 따라서 전자소재로 사용되기 위해서는 단결정의 대면적화 된 그래핀에 대한 연구가 지속적으로 이루어지고 있다. 앞서의 두 문제점 중, 단결정의 그래핀 합성에 크게 영향을 미치는 요인으로는 크게 합성 온도, 촉매 기판의 탄소 용해도, 촉매 표면에서의 탄소 원자의 확산성이 있다. 본 연구에서는 구리, 니켈, 실리콘에 비해 탄소 용해도가 낮으며, 탄소 원자의 높은 확산성으로 인해 단결정의 단층 그래핀을 합성에 적합하다고 보고된 저마늄(Germanium) 기판을 사용하여 그래핀을 합성하였다. 단결정의 그래핀을 성장시키기 위해 메탄(Methane;$CH_4$ )가스의 주입량과 수소 가스의 주입량을 제어하여 성장 속도를 조절 하였으며, 성장하는 그래핀의 면방향을 제어하고자 하였다. 표면의 산화층(Oxidized layer)을 제거하기 위하여 불산(Hydrofluoric acid)를 사용하였다. 불산 처리 후 표면의 변화는 원자간력현미경(Atomic force microscopipe)을 통하여 분석하였다. 합성된 그래핀의 특성을 저 에너지 전자현미경(Low energy electron microscopy), 광전자 현미경(Photo emission electron microscopy), 라만 분광법(Raman spectroscopy), 원자간력현미경(Atomic force microscopy)와 투과전자현미경 (transmission electron microscopy)을 이용하여 기판 표면의 구조와 결정성을 분석하였다. -
A nanostructure composite is a highly suitable substance for photoacoustic ultrasound generation. This allows an input laser beam (typically, nanosecond pulse duration) to be efficiently converted to an ultrasonic output with tens-of-MHz frequency. This type of energy converter has been demonstrated by using a carbon nanotube (CNT)-polydimethylsiloxane (PDMS) composite film that exhibit high optical absorption, rapid heat transition, and mechanical durability, all of which are necessary properties for high-amplitude ultrasound generation. In order to develop the CNT-PDMS composite film, a high-temperature chemical vapor deposition (HTCVD) method has been commonly used so far to grow CNT and then produce a CNT-PDMS composite structure. Here, instead of the complex HTCVD, we use a mixed solution of hydrophobic multi-walled CNT and dimethylformamid (DMF) and fabricate a solution-processed CNT-PDMS composite film over a spherically concave substrate, i.e. a focal energy converter. As the solution process can be applied over a large area, we could easily fabricate the focal transmitter that focuses the photoacoustic output at the moment of generation from the CNT-PDMS composite layer. With this method, we developed photoacoustic energy converters with a large diameter (>25 mm) and a long focal length (several cm). The lens performance was characterized in terms of output pressure amplitude for an incident pulsed laser energy and focal spot dimension in both lateral and axial. Due to the long focal length, we expect that the new lens can be applied for long-range ultrasonic treatment, e.g. biomedical therapy.
-
파푸아뉴기니 열대 우림에 서식하는 극락조의 가슴깃은 독특한 나노 구조를 가지고 있다. 이 독특한 구조에 의해 구조색이 발현된다. 또한 이 구조색은 입사하는 빛의 각도에 따라 색이 변화 하며 아름다운 색을 낸다. 극락조의 가슴깃의 구조는 keratin과 melanin으로 구성 되어 있는데 keratin과 melanin이 한 층씩 교대로 위치하여 multi layer 구조를 가진다. 가슴깃의 단면의 형태는 부메랑 모양의 구조로 되어 있는데 물질은 주로 keratin 으로 이루어져 있고 keratin 내부에 melanin rodlet이 층층이 박혀서 multi layer를 형성한다. 부메랑 모양의 단면에서 keratin 피질의 각도는 약
$30^{\circ}$ 정도로 이루어져 있고 내부의 melanin 기둥이 이루는 층은 약$11.3^{\circ}$ 정도로 이루어져 있다. 본 연구에서는 극락조 가슴깃의 구조를 도식화 하여 입사하는 빛의 각도에 따라 나타나는 구조색을 FDTD simulation을 통해 계산하였고 실제 구조에 의해 측정된 reflectance spectra와 비교하여 특성이 유사하게 나타남을 확인 하였다. 실제 극락조 가슴깃의 반사 특성은 부메랑 모양 구조의 가운데 부분에서는 파장이 610 nm 정도인 주황빛이 주로 반사가 되고, 부메랑 모양 구조의 양옆 부분에서는 파장이 420 nm 정도인 파란빛이 주로 반사되어 나타난다. 그리고 각도에 따른 구조색의 변화는 보통의 multi layer의 특징과 다르게 나타난다. 입사하는 빛의 각도가 커질수록 reflectance peak가 나타나는 파장이 점점 짧아지는 특징은 일반적인 multi layer와 일치하지만 일반적인 multi layer가 입사 각도가 커질수록 reflectance가 커지는데 반해 극락조 가슴깃의 반사특성은 입사 각도가 커질수록 reflectance는 오히려 작아진다. 우리가 도식화한 구조를 FDTD simulation한 결과는 이러한 특징이 실제 구조의 측정 결과와 일치하게 잘 나타났다. 또한 keratin과 melanin층의 각도 및 두께변화에 따른 reflectance를 FDTD simulation을 통해 계산 해보았고 구조변화에 따른 특징들을 확인해 보았다. -
Poly(ethylene oxide) (PEO)/functionalized bacterial cellulose nanowhiskers (f-BCNW) (0.1 wt%) composite nanofibers were fabricated by electrospinning process and the thermomechanical properties were significantly enhanced more than the PEO and PEO/bacterial cellulose nanowhiskers (BCNW) (0.1 wt%) composite nanofibers. The functionalization of BCNW (f-BCNW) was performed by microwave plasma treatment for effects of nitrogen functionalization of chemically-driven BCNW. The N-containing functional groups of f-BCNW enhanced chemical bonding between the hydroxyl groups of the polymer chains in the PEO matrix and diameter size of PEO/f-BCNW (0.1 wt%) composite nanofibers were decreased more than PEO and PEO/BCNW (0.1 wt%) composite nanofibers on the same concentration. The strong interfacial interactions between the f-BCNW nanofillers and polymer matrix were improved the thermomechanical properties such as crystallization temperature, weight loss and glass transition temperature (Tg) compared to PEO and PEO/BCNW composites nanofibers. The results demonstrated that N2 plasma treatment of BCNW is very useful in improving thermal stability for bio-applications.
-
Under nanosecond-pulsed laser irradiation, light-absorbing thin films have been used for photoacoustic transmitters for ultrasound generation. Especially, nanostructured absorbers are attractive due to high optical absorption and efficient thermoacoustic energy conversion: for example, 2-dimensional (2-D) gold nanostructure array, synthetic gold nanoparticles, carbon nanotubes (CNTs), and reduced graphene oxides. Among them, CNT has been used to fabricate a composite film with polydimethylsiloxane (PDMS) that exhibits excellent photoacoustic conversion performance for high-frequency, high-amplitude ultrasound generation. Previously, CNT-PDMS nanocomposite films were made by using a high-temperature chemical vapor deposition (HTCVD) process for CNT growth. However, this approach is not suitable to fabricate large-area CNT films (>several cm2). This is because a chamber dimension of HTCVD is limited and also the process often causes nonuniform CNT growth when the film area increases. As an alternative approach, a solution-based process can be used to overcome these issues. We develop PDMS composite transmitters, based on the solution process, using several nanostructured light-absorbers such as CNTs, nanoink powders, and imprinted regular arrays of gold nanostructure. We compare fabrication processes of each composite transmitters and photoacoustic output performance.
-
Chemical mist deposition (CMD) of poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) was investigated with cavitation frequency f, solvent, flow rate of nitrogen, substrate temperature
$T_s$ , and substrate dc bias$V_s$ as variables for efficient PEDOT:PSS/crystalline (c-)Si heterojunction solar cells (Fig. 1). The high-speed camera and differential mobility analysis characterizations revealed that average size and flux of PEDOT:PSS mist depend on f, solvent, and$V_s$ . The size distribution of mist particles including EG/DI water cosolvent is also shown at three different$V_s$ of 0, 1.5, and 5 kV for a f of 3 MHz (Fig. 2). The size distribution of EG/DI water mist without PEDOT:PSS is also shown at the bottom. A peak maximum shifted from 300-350 to 20-30 nm with a narrow band width of ~150 nm for PEDOT:PSS solution, whose maximum number density increased significantly up to 8000/cc with increasing$V_s$ . On the other hand, for EG/water cosolvent mist alone, the peak maximum was observed at a 72.3 nm with a number density of ~700/cc and a band width of ~160 nm and it decreased markedly with increasing$V_s$ . These findings were not observed for PEDOT:PSS/EG/DI water mist. In addition, the Mie scattering image of PEDOT:PSS mist under white bias light was not observed at$V_s$ above 5 kV, because the average size of mist became smaller. These results imply that most of solvent is solvated in PEDOT:PSS molecule and/or solvent is vaporized. Thus, higher f and$V_s$ generate preferentially fine mist particle with a narrower band width. Film deposition occurred when$V_s$ was impressed on positive to a c-Si substrate at a Ts of$30-40^{\circ}C$ , whereas no deposition of films occurred on negative, implying that negatively charged mist mainly provide the film deposition. The uniform deposition of PEDOT:PSS films occurred on textured c-Si(100) substrate by adjusting$T_s$ and$V_s$ . The adhesion of CMD PEDOT:PSS to c-Si enhanced by$V_s$ conspicuously compared to that of spin-coated film. The CMD PEDOT:PSS/c-Si solar cell devices on textured c-Si(100) exhibited a${\eta}$ of 11.0% with the better uniformity of the solar cell parameters. Furthermore,${\eta}$ increased to 12.5% with a$J_{sc}$ of$35.6mA/cm^2$ , a$V_{oc}$ of 0.53 V, and a FF of 0.67 with an antireflection (AR) coating layer of 20-nm-thick CMD molybdenum oxide$MoO_x$ (n= 2.1) using negatively charged mist of 0.1 wt% 12 Molybdo (VI) phosphoric acid n-Hydrate)$H_3(PMo_{12}O_40){\cdot}nH_2O$ in methanol. CMD. These findings suggest that the CMD with negatively charged mist has a great potential for the uniform deposition of organic and inorganic on textured c-Si substrate by adjusting$T_s$ and$V_s$ . -
The carrier transport is a key factor that determines the device performances of semiconductor devices such as solar cells and transistors [1]. Particularly, devices composed of in amorphous semiconductors, the transport is often restricted by carrier trapping, associated with various defects. So far, the trapping has been studied for as-grown films at room temperature; however it has not been studied during growth under plasma processing. Here, we demonstrate the detection of trapped carriers in hydrogenated amorphous silicon (a-Si:H) films during plasma processing, and discuss the carrier trapping and defect kinetics. Using an optically pump-probe technique, we detected the trapped carriers (electrons) in an a-Si:H films during growth by a hydrogen diluted silane discharge [2]. A device-grade intrinsic a-Si:H film growing on a glass substrate was illuminated with pump and probe light. The pump induced the photocurrent, whereas the pulsed probe induced an increment in the photocurrent. The photocurrent and its increment were separately measured using a lock-in technique. Because the increment in the photocurrent originates from emission of trapped carriers, and therefore the trapped carrier density was determined from this increment under the assumption of carrier generation and recombination dynamics [2]. We found that the trapped carrier density in device grade intrinsic a-Si:H was the order of 1e17 to 1e18 cm-3. It was highly dependent on the growth conditions, particularly on the growth temperature. At 473K, the trapped carrier density was minimized. Interestingly, the detected trapped carriers were homogeneously distributed in the direction of film growth, and they were decreased once the film growth was terminated by turning off the discharge.
-
Cu(In,Ga)Se2 (CIGS) 박막 태양전지는 높은 효율과 낮은 제조비용, 높은 신뢰성으로 인해 박막 태양전지 중 가장 각광받고 있다. 특히 유리기판 대신 가볍고 유연한 철강소재나 플라스틱 소재를 이용하여 발전분야 외에 건물일체형, 수송용, 휴대용등 다양한 분야에 적용이 가능하다. 이러한 유연 기판을 이용한 CIGS 태양전지의 개발을 위해서는 기판의 특성에 따른 다양한 공정개발이 선행되어야 한다. Stainless steel과 같은 철강기판의 경우 Fe, Ni, Cr등의 불순물이 확산되어 흡수층의 특성을 저하시켜 효율을 감소시킨다. 따라서 이러한 철강 기판의 경우 불순물의 확산을 방지하는 확산방지막이 필수적이다. 이러한 유연기판의 특성을 고려하여 본 연구에서는 기존의 두껍고 추가 장비가 요구되는 SiOx나 Al2O3 대신 200nm 이하의 ZnO 박막을 이용하여 확산방지막을 제조하였다. 유연기판으로 STS 430 stainless steel을 이용하였다. 먼저 stainless steel 기판을 이용하여 기판에 의한 흡수층의 특성을 분석하였으며 ZnO 확산 방지막의 유무 및 두께에 따른 흡수층 및 소자의 특성을 분석하였다. 이때 확산 방지막은 기존 TCO 공정에서 사용되는 i-ZnO를 사용하였으며 RF sputter를 이용하여 50~200nm로 두께를 달리하며 특성 비교를 실시하였다. 효율은 확산방지막을 적용하지 않았을 때 약 5.9%에서 확산 방지막 적용시 약 10.7%로 증가하였다. 그 후 기판으로부터 확산되는 불순물의 유입에 의한 결함을 분석하기 위해 DLTS를 이용하여 소자 특성을 분석하였다. 온도는 80~300K으로 가변하며 측정을 실시하였으며 그 후 계산을 통해 activation energy와 capture cross section 값을 구하였다. DLTS 분석 결과 Ni이 CIGS 흡수층으로 확산되어 NiCu anti-site를 형성하여 태양전지의 효율을 감소시키는 것을 확인하였다. 모든 흡수층은 Co-Evaporation 방법을 이용하여 제조하였으며 제조된 흡수층은 SEM, XRF, XRD, GD-OES, PL, Raman등을 이용하여 분석하였으며 그 외 일반적인 방법을 이용하여 Mo, CdS, TCO, Al grid를 제조하였다. AR 코팅은 제외 하였으며 제조된 소자는 솔라 시뮬레이터를 이용하여 효율 특성 분석을 실시하였으며 Q.E. 분석을 실시하였다.
-
The oxygen reduction reaction (ORR) in a polymer electrolyte membrane (PEM) fuel cell requires the use of Pt-based catalysts. Due to the high cost and low abundance of Pt, many researchers have been studied to reduce the use of Pt while to enhance the catalytic performance of Pt. One of the promising strategies is the deposition of Pt as ultrathin skins of only a few atomic layers on nanoscale substrates made of another metal. This presentation will discuss the conformal deposition of Pt as uniform, ultrathin shells on Pd nanocrystals. By optimizing the catalytic behavior of Pt-based nanocrystals, we obtained the greatly enhanced ORR activity and durability.
-
Triboelectric nanogenerators (TENG) can produce power from ambient mechanical sources and have strong points of high output performance, light weight, low cost, and easy manufacturing process. It is expected that TENG can be utilized in the fields of wireless electronics and self-powered devices in the world which pays attention to healthcare and the IoT. In this work, we focus on scavenging ambient rotational energy by using a durably designed TENG. In previous studies regarding harvesting rotation mode energy, the devices were based on sliding mechanism and durability was not considered as a major issue. However friction by rotation causes reliability problems due to wear and tear. Therefore, in this study, we convert rotary motion to linear motion utilizing a cam by which we can then utilize contact-mode TENG and improve device reliability. In order to increase output performance, bumper springs were used below the TENG and the optimum value for the bumper spring constant was analyzed theoretically. Furthermore, the inserting a soft substrate was proposed and its effect on high output was determined to be due to an increase in the contact area. By increasing the number of cam noses, the output frequency was shown to increase linearly. For the purpose of maximum power transfer, the input impedance of the device was determined. Finally, to demonstrate the use of the C-TENG as a direct power source, it was installed on a commercial bicycle wheel and connected to 180 LEDs. In conclusion we present a rotational motion TENG energy scavenger system designed for enhanced durability and optimized output by appropriate choice of spring constants and substrate.
-
A triboelectric generator uses the principles of static electrification and electrostatic induction to convert mechanical energy into useful electrical energy. In this work we study a single degree of freedom (SDOF) vibration type triboelectric generator that is initiated by a vibrating source at its base. The system is modeled in Abaqus and the design parameters are systematically explored by their effect on the output. The relationships between the parameters: input force, input frequency, mass, spring stiffness and gap between the plates, are analyzed. Finally, based on initial experiments, and simulation results, a design methodology is formulated. The methodology will provide guidance for application specific design of reliable and effective vibration type triboelectric generators.
-
Although vertically aligned one-dimensional (1D) structure has been considered as efficient forms for photoelectrode, development of efficient 1D nanostructured photocathode are still required. In this sense, we recently demonstrated a simple fabrication route for CuInS2 (CIS) nanorod arrays from aqueous solution by template-assisted growth-and-transfer method and their feasibility as a photoelectrode for water splitting. In this study, we further evaluated the photoelectrochemical properties surface-modified CIS nanorod arrays. Surface modification with CdS and ZnS was performed by successive ion layer adsorption and reaction (SILAR) method, which is well known as suitable technique for conformal coating throughout nanoporous structure. With surface modification of CdS and ZnS, both photoelectrochemical performance and stability of CuInS2 nanorod arrays were improved by shifting of the flat-band potential, which was analyzed both onset potential and Mott-schottky plot.
-
태양전지 제작 시 표면에 피라미드 구조를 형성하면 입사되는 광의 흡수를 높여 광 생성 전류의 향상에 기여한다. 일반적인 KOH를 이용한 습식 표면조직화 공정은 평균 10%의 반사율을 보였으며, 유도 결합 플라즈마를 이용한 RIE 공정은 평균 5.4%의 더 낮은 반사율을 보였다. 그러나 RIE 공정을 이용한 표면조직화는 낮은 반사율과 서브 마이크론 크기의 표면 구조를 만들 수 있지만 플라즈마 조사에 의한 표면 손상이 많이 발생하게 된다. 이러한 표면 손상은 태양전지 제작 시 표면에서 높은 재결합 영역으로 작용하게 되어 포화 전류(saturation currents,
$J_0$ )를 증가시키고 캐리어 수명(carrier lifetime,${\tau}$ )을 낮추는 결함 요소로 작용한다. 이러한 플라즈마에 의한 표면 손상을 제거하기 위해 HF, HNO3, DI-water를 이용하여 DRE(Damage Remove Etching) 공정을 진행하였다. DRE 공정은 HF : DI-water 솔루션과 HNO3 : HF : DI-water 솔루션의 두 가지 공정을 이용하여 공정 시간을 가변하며 진행하였다. 포화전류($J_0$ ), 캐리어 수명(${\tau}$ ), 벌크 캐리어 수명(Bulk${\tau}$ )을 비교를 하기위해 KOH, RIE, RIE + DRE 공정을 진행한 세 가지 샘플로 실험을 진행하였다. DRE 공정을 적용할 경우 공정 시간이 지날수록 반사도가 높아지는 경향을 보였지만, 두 번째의 최적화된 솔루션 공정에서$2.36E-13A/cm^2$ ,$42{\mu}s$ 의$J_0$ , Bulk${\tau}$ 값과 가장 높은$26.4{\mu}s$ 의${\tau}$ 를 얻을 수 있었다. 이러한 결과는 오제 재결합(auger recombination)이 가장 많이 발생하는 지역인 표면과 불균일한 도핑 영역에서 DRE 공정을 통해 나아진 표면 특성과 균일한 도핑 프로파일을 형성하게 되어 재결합 영역과$J_0$ 가 감소 된 것으로 판단된다. 높아진 반사도의 경우$SiN_x$ 를 이용한 반사방지막을 통해 표면 반사율을 1% 이내로 내릴 수 있어 보완이 가능하였다. 본 연구에서는 RIE 공정 중 플라즈마에 의해 발생하는 표면 손상 제거를 통하여 캐리어 라이프 타임의 향상된 조건을 찾기 위한 연구를 진행하였으며, 기존 RIE 공정에 비해 반사도의 상승은 있지만 플라즈마로 인한 표면 손상을 제거하여 오제 재결합에 의한 발생하는$J_0$ 를 낮출 수 있었고 높은${\tau}$ 값인$26.4{\mu}s$ 의 결과를 얻어 추후 태양전지 제작에 향상된 효율을 기대할 수 있을 것으로 기대된다. -
CIGS 박막 태양전지에서 완충층으로 사용되는 ZnS는 단파장 영역에서 높은 투과도와 CIGS 계면과의 좋은 접착을 가지고 친환경적이며 3.74eV의 에너지 밴드갭을 가지고 있기 때문에 CdS를 사용했을 때 보다 더 넓은 에너지 영역의 광자를 p-n 접합 경계 영역으로 통과 시킬 수 있고 Cd-free 물질이라는 점에서 기존의 CdS 완충층의 대체 물질로 각광 받고 있다. 본 연구에서는 CIGS 박막에 화학습식공정 방법을 이용하여 최적화된 ZnS 박막의 증착 조건을 찾기 위해 실험 변수인 시약의 농도, 실험온도, 열처리 조건 등의 다양한 변화를 통해 실험을 진행하였고, 박막의 갈라짐과 pin-hole 현상을 개선하고 균일한 막을 제조하기 위해 구연산 나트륨 농도에 따른 ZnS 박막의 특성을 연구하였다. 본 실험 결과로서 실험변수인 황산아연의 농도 0.15M, 암모니아는 0.3M, 티오요소 1M, 공정 온도
$80^{\circ}C$ 의 최적화 된 조건에서 가장 좋은 품질의 ZnS 박막을 제조하였지만, ZnS 박막의 열처리 후 산소의 양이 줄어감에 따라 박막의 표면이 갈라지고 pin-hole 현상이 발생하는 것을 확인할 수 있었다. 박막의 품질을 개선하기 위해 구연산 나트륨을 첨가하여 실험한 결과 구연산 나트륨의 0.05M의 농도에서는 박막 표면에 90nm의 갈라짐의 크기와 pin-hole 현상이 남아있는 것을 확인하였고, 농도가 높아질수록 점차 크기가 줄어들면서 0.4M에서는 갈라짐이 거의 없는 표면과 pin-hole 현상도 없어지는 것을 확인하였고, 약 144nm의 박막 두께와 3.8eV의 에너지 밴드갭을 가지고, 약 81%의 높은 광투과율을 갖는 고품질의 ZnS 박막을 제작할 수 있었다. -
Kang, Ji-yoon;Jeon, Minhan;Oh, Donghyun;Shim, Gyeongbae;Park, Cheolmin;Ahn, Shihyun;Balaji, Nagarajan;Yi, Junsin 406
To get high efficiency n-type crystalline silicon solar cells, passivation is one of the key factor. Tunnel oxide (SiO2) reduce surface recombination as a passivation layer and it does not constrict the majority carrier flow. In this work, the passivation quality enhanced by different chemical solution such as HNO3, H2SO4:H2O2 and DI-water to make thin tunnel oxide layer on n-type crystalline silicon wafer and changes of characteristics by subsequent annealing process and firing process after phosphorus doped amorphous silicon (a-Si:H) deposition. The tunneling of carrier through oxide layer is checked through I-V measurement when the voltage is from -1 V to 1 V and interface state density also be calculated about$1{\times}1012cm-2eV-1$ using MIS (Metal-Insulator-Semiconductor) structure. Tunnel oxide produced by 68 wt% HNO3 for 5 min on $100^{\circ}C$ , H2SO4:H2O2 for 5 min on$100^{\circ}C$ and DI-water for 60 min on$95^{\circ}C$ . The oxide layer is measured thickness about 1.4~2.2 nm by spectral ellipsometry (SE) and properties as passivation layer by QSSPC (Quasi-Steady-state Photo Conductance). Tunnel oxide layer is capped with phosphorus doped amorphous silicon on both sides and additional annealing process improve lifetime from$3.25{\mu}s$ to$397{\mu}s$ and implied Voc from 544 mV to 690 mV after P-doped a-Si deposition, respectively. It will be expected that amorphous silicon is changed to poly silicon phase. Furthermore, lifetime and implied Voc were recovered by forming gas annealing (FGA) after firing process from$192{\mu}s$ to$786{\mu}s$ . It is shown that the tunnel oxide layer is thermally stable. -
염료감응 태양전지(dye-sensitized solar cells, DSSCs)는 식물의 광합성원리와 매우 유사한 작동원리를 갖고 있는 전지이며, 간단한 구조, 저렴한 제조단가, 친환경성 등의 등의 장점으로 인하여 많은 관심을 모으고 있다. 이러한 염료감응 태양전지는 빛을 받아들인 염료분자가 전자-홀 쌍을 생성하며 전자는 반도체 산화물을 통해 이동되고 전해질의 산화환원 과정을 통해 염료 분자가 다시 환원되는 순환메커니즘을 따르고 있다. 일반적으로 염료감응 태양전지는 밴드 갭 에너지가 큰 반도체 산화물을 포함하는 작업전극, 산화환원 반응을 통해 전자를 염료로 보내는 전해질, 환원 촉매역할을 하는 상대전극으로 구성되어 있다. 특히, 상대전극으로는 우수한 촉매특성과 높은 전도성을 갖는 백금이 가장 많이 이용되고 있지만 가격이 비싸고 요오드에 취약하기 때문에 상용화에 큰 장애물이다. 따라서, 백금을 대체하기 위해 저가의 탄소나 고분자에 대한 연구가 활발히 진행되고 있고, 그 중 탄소나노섬유(carbon nanofiber, CNFs)는 높은 표면적과 뛰어난 화학적 안정성으로 촉매효율을 증대시킬 수 있어 촉매물질로서 관심이 높아지고 있다. 본 연구에서는 상대전극에 탄소나노섬유기반 복합체를 합성하였고, 성공적으로 저가격 및 고성능의 염료감응 태양전지를 제작하였다. 이때, 지지체인 탄소나노섬유는 전기방사법을 통해 합성하였으며, 수열합성법을 이용하여 금속산화물을 담지하였다. 이렇게 제작된 탄소나노섬유-Fe2O3 복합체는 scanning electron microscopy, transmission electron microscopy, X-ray diffraction, 그리고 X-ray photoelectron spectroscopy 통해 구조적, 화학적 특성을 평가하였으며 전기화학적 특성 및 광전변환 효율을 분석하기 위해 cyclic voltammetry, electrochemical impedance spectroscopy, 그리고 solar simulator를 사용하였다. 본 학회에서 위와 관련된 더 자세한 사항에 대해 논의할 것이다.
-
태양전지 제작 시 에미터층을 형성하는 도핑 공정의 최적화는 캐리어 수집 확률 증가와 함께 결정질 실리콘 태양전지 고효율화를 위해 매우 중요하다. 본 연구에서는 결정질 실리콘 태양전지 다이오드의 다양한 도핑 공정으로 제작된 p-n 접합에 대한 전기적 특성 분석을 진행하였다. 도핑 공정의 경우 선 증착-후 확산 공정 시간과 가스량을 변화시켜 다양한 에미터층을 제작하였다. 선 증착 시간 변화를 주는 경우 선 증착 공정을
$825^{\circ}C$ 로 고정한 뒤 시간을 7분에서 17분까지 변화하고 후 확산 공정을$845^{\circ}C$ , 14분으로 고정하였다. 후 확산 시간 변화를 주는 경우는 선 증착 공정을$825^{\circ}C$ , 12분으로 고정한 뒤 후 확산 공정을$845^{\circ}C$ 로 고정 하고 시간을 9분에서 19분까지 변화시켰다. 선 증착 공정을$845^{\circ}C$ 12분, 후 확산 공정을$845^{\circ}C$ , 14분으로 고정 한 뒤 선 증착 시 POCl3양을 400 ~ 1400 SCCM까지 변화시켰고, 후 확산 시 산소량을 0 ~ 1000 SCCM까지 가변한 조건에서 에미터층에 대한 특성을 분석하였다. 결과적으로 선 증착 공정$825^{\circ}C$ 12분, 후 확산 공정$845^{\circ}C$ 14분에서 SCR(Space Charge Region)에서 3.81의 가장 낮은 이상 계수 값을 나타내었다. 이는 p-n접합의 내부결함이 줄어들어 태양전지의 캐리어 수명이 증가됨을 보였다. 선 증착 공정 중$POCl_3$ 주입량 800 SCCM, 후 확산 공정 중 산소량 400 SCCM에서$15.9{\mu}s$ 로 가장 높은 캐리어 수명을 나타내었다. Suns-VOC 측정 결과$POCl_3$ 주입량 800 SCCM, 산소량 400 SCCM에서 619mV로 가장 높은 개방전압을 얻을 수 있었다. -
Organic-inorganic metal halide perovskite solar cells have received attention because it has a number of advantages with excellent light harvesting, high carrier mobility, and facile solution processability and also recorded recently power conversion efficiency (PCEs) of over 20%. The major issue on perovskite solar cells have been reached the limit of small area laboratory scale devices produced using fabrication techniques such as spin coating and physical vapor deposition which are incompatible with low-cost and large area fabrication of perovskite solar cells using printing and coating techniques. To solution these problems, we have investigated the feasibility of achieving fully printable perovskite solar cells by the blade-coating technique. The blade-coating fabrication has been widely used to fabricate organic solar cells (OSCs) and is proven to be a simple, environment-friendly, and low-cost method for the solution-processed photovoltaic. Moreover, the film morphology control in the blade-coating method is much easier than the spray coating and roll-to-roll printing; high-quality photoactive layers with controllable thickness can be performed by using a precisely polished blade with low surface roughness and coating gap control between blade and coating substrate[1]. In order to fabricate perovskite devices with good efficiency, one of the main factors in printed electronic processing is the fabrication of thin films with controlled morphology, high surface coverage and minimum pinholes for high performance, printed thin film perovskite solar cells. Charge dissociation efficiency, charge transport and diffusion length of charge species are dependent on the crystallinity of the film [2]. We fabricated the printed perovskite solar cells with large area and flexible by the bar-coating. The morphology of printed film could be closely related with the condition of the bar-coating technique such as coating speed, concentration and amount of solution, drying condition, and suitable film thickness was also studied by using the optical analysis with SEM. Electrical performance of printed devices is gives hysteresis and efficiency distribution.
-
Baek, Ji Hyun;Lee, Dong Geon;Jin, Young Un;Han, Man Hyung;Kim, Won Bin;Cho, In Sun;Jung, Hyun Suk 417
Global environmental deterioration has become more serious year by year and thus scientific interests in the renewable energy as environmental technology and replacement of fossil fuels have grown exponentially. Photoelectrochemical (PEC) cell consisting of semiconductor photoelectrodes that can harvest light and use this energy directly to split water, also known as photoelectrolysis or solar water splitting, is a promising renewable energy technology to produce hydrogen for uses in the future hydrogen economy. A major advantage of PEC systems is that they involve relatively simple processes steps as compared to many other H2 production systems. Until now, a number of materials including TiO2, WO3, Fe2O3, and BiVO4 were exploited as the photoelectrode. However, the PEC performance of these single absorber materials is limited due to their large charge recombinations in bulk, interface and surface, leading low charge separation/transport efficiencies. Recently, coupling of two materials, e.g., BiVO4/WO3, Fe2O3/WO3 and CuWO4/WO3, to form a type II heterojunction has been demonstrated to be a viable means to improve the PEC performance by enhancing the charge separation and transport efficiencies. In this study, we have prepared a triple-layer heterojunction BiVO4/WO3/SnO2 photoelectrode that shows a comparable PEC performance with previously reported best-performing nanostructured BiVO4/WO3 heterojunction photoelectrode via a facile solution method. Interestingly, we found that the incorporation of SnO2 nanoparticles layer in between WO3 and FTO largely promotes electron transport and thus minimizes interfacial recombination. The impact of the SnO2 interfacial layer was investigated in detail by TEM, hall measurement and electrochemical impedance spectroscopy (EIS) techniques. In addition, our planar-structured triple-layer photoelectrode shows a relatively high transmittance due to its low thickness (~300 nm), which benefits to couple with a solar cell to form a tandem PEC device. The overall PEC performance, especially the photocurrent onset potential (Vonset), were further improved by a reactive-ion etching (RIE) surface etching and electrocatalyst (CoOx) deposition. -
High efficiency silicon solar cell requires the textured front surface to reduce reflectance and to improve the light trapping. In case of mono-crystalline silicon solar cell, wet etching with alkaline solution is widespread. However, the alkali texturing methods are ineffective in case of multi-crystalline silicon wafer due to grain boundary of random crystallographic orientation. The acid texturing method is generally used in multi-crystalline silicon wafer to reduce the surface reflectance. However the acid textured solar cell gives low short-circuit current due to high reflectivity while it improves the open-circuit voltage. To reduce the reflectivity of multi-crystalline silicon wafer, double texturing method with combination of acid and reactive ion etching is an attractive technical solution. In this paper, we have studied to optimize RIE experimental condition with change of RF power (100W, 150W, 200W, 250W, 300W). During experiment, the gas ratio of SF6 and O2 was fixed as 30:10.
-
Organic-inorganic hybrid perovskite have attracted significant attention as a new revolutionary light absorber for photovoltaic device due to its remarkable characteristics such as long charge diffusion lengths (100-1000nm), low recombination rate, and high extinction coefficient. Recently, power conversion efficiency of perovskite solar cell is above 20% that is approached to crystalline silicon solar cells. Planar heterojunction perovskite solar cells have simple device structure and can be fabricated low temperature process due to absence of mesoporous scaffold that should be annealed over 500 oC. However, in the planar structure, controlling perovskite film qualities such as crystallinity and coverage is important for high performances. Those controlling methods in one-step deposition have been reported such as adding additive, solvent-engineering, using anti-solvent, for pin-hole free perovskite layer to reduce shunting paths connecting between electron transport layer and hole transport layer. Here, we studied the effect of alkali metal halide to control the fabrication process of perovskite film. During the morphology determination step, alkali metal halides can affect film morphologies by intercalating with PbI2 layer and reducing
$CH3NH3PbI3{\cdot}DMF$ intermediate phase resulting in needle shape morphology. As types of alkali metal ions, the diverse grain sizes of film were observed due to different crystallization rate depending on the size of alkali metal ions. The pin-hole free perovskite film was obtained with this method, and the resulting perovskite solar cells showed higher performance as > 10% of power conversion efficiency in large size perovskite solar cell as$5{\times}5cm$ . X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and inductively coupled plasma optical emission spectrometry (ICP-OES) are analyzed to prove the mechanism of perovskite film formation with alkali metal halides. -
Copper indium sulfide (selenide) (CuIn(S,Se)2,CISSe)는 1.0~1.5 eV의 Direct band gap과 105 cm-1이 넘는 큰 광 흡수 계수를 가지고 있어 박막 태양전지의 흡수층으로써 연구되어 왔다. 최근 대량생산 및 저가 공정에 용이하다는 측면에서 용액 공정 기반 CISSe 태양전지 연구가 크게 주목 받고 있다. 용액공정 기반 중 하이드라진을 사용 한 경우 매우 높은 효율을 기록하였으나, 하이드라진 자체의 유독성과 폭발성 때문에 분위기 제어가 필요하고 여전히 저가화 및 대면적 제작에 한계가 있다. 따라서 알코올 솔젤 기반 CISSe 태양전지 제작 연구가 많이 진행되었으나, 결정립 성장 및 칼코겐 원자를 공급하기 위해 불가피하게 황화/셀렌화 후속 열처리 공정을 요구한다. 후속 열처리 공정은 폭발성의 황화수소/황화셀레늄 기체 분위기 제어와 고가의 장비를 필요로 한다. 본 연구에서는 매우 안정적이며 저가 용매인 물과 아민계 첨가제를 이용하여 Cu, In 전구체와 S, Se 이 포함된 Cu-In-S 잉크와 Se잉크를 제작하였다. 잉크 내에 S, Se을 첨가 함으로써 추가적인 후속공정 없이 비활성 가스 분위기에서 고품질의 CISSe 박막 제작을 가능케 하였다. 또한 Se 잉크 증착 횟수에 따른 결정 구조, 광학적 성질의 차이에 주목하였다. 따라서 수계 잉크를 대기 중에서 스핀코팅으로 박막을 제작한 후, Hot plate에서 건조하여 균일한 박막을 제조하고, 제작된 박막을 tube furnace에서 환원 분위기 및 비활성 가스 분위기에서 열처리 진행하여
$1.3{\mu}m$ 두께의 고품질의 CISSe 흡수층을 제작하였다. 이러한 흡수층에 대해 XRD, SEM, EDS 분석을 진행하여, 결정성, 미세구조, 및 조성을 확인하였으며, 제작된 흡수층 위에 버퍼층/투명전극층을 차례로 증착하여 CISSe 태양전지를 제작하여 셀 성능 및 양자 효율 특성을 파악하였다. 또한 액상 Raman 분석을 통해 결정립 성장 과정 메커니즘을 제시하였다. -
Organolead halide perovskite have attracted much attention over the past three years as the third generation photovoltaic due to simple fabrication process via solution process and their great photovoltaic properties. Many structures such as mesoporous scaffold, planar heterojunction or 1-D TiO2 or ZnO nanorod array structures have been studied to enhance performances. And the photovoltaic performances and carrier transport properties were studied depending on the cell structures and shape of perovskite film. For example, the perovskite cell based on TiO2/ZnO nanorod electron transport materials showed higher electron mobility than the mesoporous structured semiconductor layer due to 1-D direct pathway for electron transport. However, the reason for enhanced performance was not fully understood whether either the shape of perovskite or the structure of TiO2/ZnO nanorod scaffold play a dominant role. In this regard, for a clear understanding of the shape/structure of perovskite layer, we applied anodized aluminum oxide material which is good candidate as the inactive scaffold that does not influence the charge transport. We fabricated vertical one dimensional (1-D) nanostructured methylammonium lead mixed halide perovskite (CH3NH3PbI3-xClx) solar cell by infiltrating perovskite in the pore of anodized aluminum oxide (AAO). AAO template, one of the common nanostructured materials with one dimensional pore and controllable pore diameters, was successfully fabricated by anodizing and widening of the thermally evaporated Al film on the compact TiO2 layer. Using AAO as a scaffold for perovskite, we obtained 1-D shaped perovskite absorber, and over 15% photo conversion efficiency was obtained. I-V measurement, photoluminescence, impedance, and time-limited current collection were performed to determine vertically arrayed 1-D perovskite solar cells shaped in comparison with planar heterojunction and mesoporous alumina structured solar cells. Our findings lead to reveal the influence of the shape of perovskite layer on photoelectrical properties.
-
Lee, Woo-Jung;Yu, Hye-Jung;Cho, Dae-Hyung;Wi, Jae-Hyung;Han, Won-Seok;Yoo, Jisu;Yi, Yeonjin;Song, Jung-Hoon;Chung, Yong-Duck 431
Typical Cu(In,Ga)Se2 (CIGS)-based solar cells have a buffer layer between CIGS absorber layer and transparent ZnO front electrode, which plays an important role in improving the cell performance. Among various buffer materials, chemical bath deposition (CBD)-ZnS is being steadily studied to alternative to conventional CdS and the efficiency of CBD-ZnS/CIGS solar cell shows the comparable values with that of CdS/CIGS solar cell. The intriguing thing is that reversible changes occur after exposure to illumination due to the metastable defect states in completed ZnS/CIGS solar cell, which induces an improvement of solar cell performance. Thus, it implies that the understanding of metastable defects in CBD-ZnS/CIGS solar cell is important issue. In this study, we fabricate the ITO/i-ZnO/CBD-ZnS/CIGS/Mo/SLG solar cells by controlling the NH4OH mole concentration (from 2 M to 3.5 M) of CBD-ZnS buffer layer and observe their conversion efficiency with and without light soaking for 1 hr. From the results, NH4OH mole concentration and light exposure can significantly affect the CBD-ZnS/CIGS solar cell performance. In order to investigate that which layer can contain metastable defect states to influence on solar cell performance, impedance spectroscopy and capacitance profiling technique with exposure to illumination have been applied to CBD-ZnS/CIGS solar cell. These techniques give a very useful information on the density of states within the bandgap of CIGS, free carriers density, and light-induced metastable effects. Here, we present the rearranged charge distribution after exposure to illumination and suggest the origin of the metastable defect states in CBD-ZnS/CIGS solar cell. -
Carbon nanotubes (CNT) emitter has widely become an attractive mechanism that draws growing interests for cold cathode field emission. CNT yarns have demonstrated its potential as excellent field emitters. It was demonstrated that a small focal spot size was achieved by manipulating some electrical parameters, such as applied bias voltage at the mesh gate, and electrostatic focal lenses, geometrical parameters, such as axial distances of the anode, and the electrostatic focal lens from the cathode assembly, and the dimension of the opening of the electrostatic lens. Electrical-optics software was used to systematically investigate the behavior of the electron beam trajectory when the aforementioned variables were manipulated. The results of the experiment agree with the theoretical simulation results. Each variable has an individual effect on the electron beam focal spot size impinging on the target anode. An optimum condition of the parameters was obtained producing good quality of X-ray images. Also, MWCNT yarn was investigated for field emission characteristics and its contribution in the X-ray generation. The dry spinning method was used to fabricate MWCNT yarn from super MWCNTs, which was fabricated by MW-PECVD. The MWCNT yarn has a significant field emission capability in both diode and the triode X-ray generation structure compared to a MWCNT. The low-voltage-field emission of the MWCNT yarn can be attributed to the field enhancing effect of the yarn due to its shape and the contribution of the high-aspect-ratio nanotubes that protrude from the sides of the yarn. Observations of the use of filters on the development of X-ray images were also demonstrated. The amount of exposure time of the samples to the X-ray was also manipulated. The MWCNT yarn can be a good candidate for use in the low voltage field emission application of X-ray imaging.
-
현대 과학기술에는 표면/계면 및 나노물질의 구조와 물성을 분석하는 다양한 방법들이 존재한다. 이들 분석장비들의 분해능과 감도의 향상으로 이전에는 보지 못한 물성들이 속속 발견되고 있다. 이러한 흐름 속에서 분석장비들의 다기능 시대가 열리고 있다. 예전에는 성분, 형태, 구조, 전자구조 등을 분석하기 위해 각각에 해당하는 분석장비들이 동원되었다. 하지만 21세기에 접어들어 분석장비들이 두 종류 이상의 분석이 가능하도록 개발되었다. 예를 들면, TEM으로 형태를 보는 것 외에도 TED와 EELS로 구조와 성분을 동시에 확인할 수 있게 되었다. 전통적인 성분 및 전자구조 분석법의 하나인 광전자분광법도 microscopy 기능을 탑재하는 변신이 있었다. 본 발표에서는 빛과 전자를 시료에 조사하여 물질의 성분, 형태, 구조, 전자구조 등을 동시에 분석이 가능한 분석법들에 대해 소개하고자 한다. 그 중, SPEM(Scanning Photoelectron Emission Microscopy)은 포항가속기연구소에 설치되어 있으며, PEEM(Photoelectron Microscopy)과 LEEM(Low Energy Electron Microscopy)은 수차보정장치를 갖춘 사양으로 최근 한국기초과학지원연구원에 설치되었다. 위에 언급한 장비를 활용하여 얻은 데이터를 바탕으로 나노물질의 표면 및 계면의 특성을 분석하는 방법 및 최근 연구 결과를 소개하고자 한다.
-
With the advent of ultra-short high-intense XFEL (X-ray Free Electron Laser), time-resolved dynamics has become of great importance in exploring femtosecond real-world phenomena of nanoscience and biology. These include studying the response of materials to femtosecond laser excitation and investigating the interaction of XFEL itself with condensed matter. A variety of dynamic phenomena have been investigated such as radiation damage, ultrafast melting process, non-equilibrium phase transitions caused by orbital-lattice-spin couplings. As far as bulk materials are concerned, the sample size has no effect on the following dynamic process. As a result, imaging information is not required by and large. If the sample size is of tens of nanometers, however, sample starts to experience quantum confinement effect which, in turn, affects the following dynamic process. Therefore, to understand the fundamental dynamic phenomena in nano-science, time-resolved imaging information is essential. In this talk, we will briefly introduce scientific highlights achieved in XFEL-based dynamics. In case of bio-imaging, recent scientific topics will be mentioned as well. Finally, we will aim to present feasible topics in ultrafast time-resolved imaging and to discuss the future plan of CXI beamline at PAL-XFEL.
-
The unique properties of 2D materials significantly rely on the atomic structure and defects. Thus study at atomic scale is crucial for in-depth understanding of 2D materials and provides insights into its future applications. Using aberration-corrected transmission electron microscopes, atomic resolution imaging of individual atoms has been achieved even at a low kV. Ongoing optimization of aberration correction improves the spatial resolution better than angstrom and moreover boosts the contrast of light atoms. I present the recent progress of the study on the atomic structure and defects of monolayer and multilayer graphene, hBN and MoS2. Furthermore, the defect formation mechanisms of graphene, hexagonal boron nitride and MoS2 are discussed.
-
As an era of nano science approaches, the understanding on the shape and optical properties of various materials in a nanoscale range is getting important more seriously than ever. Accordingly the development of high spatial-temporal-spectral resolution measurement tools for characterization of nanomaterials/structures is highly required. Generally, the various properties of sample can be measured independently, e.g. to observe the structural property of sample, we use the scanning electron microscopy or atomic force microscopy, and to observe optical property, we have to use another independent measurement tool such as photoluminescence spectroscopy or Raman spectroscopy. In the case of nano-materials, however, it is very difficult to find out the same position of sample at every different measurement processes, and the condition of sample can be changed by the influence of first measurement. The tip enhanced Raman scattering(TERS), which can simultaneously measure the two or more information of sample with nanoscale spatial resolution, is one of solutions of this problem. In this talk, I will present our recent nano Raman scattering data of graphene that measured by TERS and optimized tip fabrication method for efficient experiment.
-
플라즈마 전기적인 진단 방법이라 함은 플라즈마에 전기장을 인가하고 이로 인해 도출되는 전류와 그 위상차를 구하여 플라즈마의 임피던스를 얻는 방법을 통칭한다. 이러한 방법은 임피던스라는 raw data에서 출발하지만 플라즈마와 전기장의 상호작용에 따라 다양한 플라즈마 진단 모델이 적용될 수 있으며, 이러한 모델을 통해 다양한 플라즈마 변수 (플라즈마 밀도, 온도, 전위 등등)들을 도출할 수 있는 것이 특징이라고 할 수 있다. 본 발표에서는 진단에 사용되는 주파수와 진단기의 형상에 따라 달라지는 외부 전기장와 플라즈마의 전기적인 상호작용을 살펴보고, 어떻게 플라즈마 전기적 진단기술이 성립되는지를 다양한 전기적 진단 기술을 소개하면서 설명하고자 한다.
-
반도체 및 디스플레이 등과 같은 전자산업분야에 플라즈마를 이용한 생산공정이 폭넓게 활용됨에 따라서 공정 결과를 예측하고 조절할 수 있는 플라즈마 변수 측정 및 진단기술의 중요성은 더욱 증가되고 있다. 플라즈마 진단을 위해 가장 많이 사용되고 있는 량뮤어 탐침(Langmuir Probe)은 수십 볼트(V)의 전압을 탐침에 인가하여 들어오는 전류(I)를 측정한 I-V curve의 해석을 바탕으로 플라즈마 변수들(전자밀도, 전자온도, 플라즈마 전위,
${\cdots}$ )을 측정하는 방법으로 탐침에 인가한 전압으로 인하여 플라즈마가 영향을 받고 이로인하여 공정 결과에 변화를 줄 수 있다. 또한, 증착공정과 같이 공정과정 중에 탐침의 증착으로 인해 탐침으로 들어와야하는 전자 및 이온의 양이 감소하여 측정에 오차가 발생할 수 있어 공정 플라즈마 진단에 적합하지 않다. 따라서 공정 플라즈마의 정확한 측정을 위해서는 플라즈마에 대한 영향을 최소화하고 증착으로 인하여 탐침이 오염 되는 환경에서도 플라즈마 변수를 정확히 측정할 수 있는 진단 장치가 요구된다. 마이크로웨이브를 이용한 진단장치들은 1 mW 이하의 매우 작은 파워를 사용하기 때문에 플라즈마에 영향을 최소화하여 보다 정확한 플라즈마 진단이 가능하다. 또, 유전체 투과특성이 있는 마이크로웨이브를 이용하기 때문에 탐침이 유전체로 증착되었다 하더라도 측정에는 문제가 없어 공정 플라즈마 진단에 용이하다. 이런 장점들로 인하여 헤어핀 탐침(Hairpin probe), 컷오프 탐침(cutoff probe), 임피던스 탐침(Impedance probe) 등과 같이 마이크로웨이브를 이용하여 다양한 형태의 진단 장치들이 개발되었다. 본 발표에서는 마이크로웨이브를 이용한 다양한 형태의 진단 장치들을 소개하고 각각이 가지는 장단점을 정리하여 각 진단장치들이 측정이 적합한 영역을 소개할 예정이다. -
레이저 진단은 플라즈마 진단법 중 가정 정확한 진단법으로 알려져 있다. 특히 진단이 까다로운 고밀도, 자기장, 고압 환경에서도 그 측정 결과가 신뢰성 있다고 잘 알려져 있다. 본 특별 심포지엄에서는 중 성종을 측정 할 수 있는 레일레이 산란과 자유 전자를 측정 할 수 있는 톰슨 산란, 그리고 분자를 측정할 수 있는 라만 산란을 중심으로 발표 할 것이다. 또한 특정 준위를 갖는 원자 및 분자의 거동을 측정할 수 있는 레이저 유도 형광에 대해서도 간단히 발표 할 것이다. 그밖에 레이저 진단의 종류, 원리 등을 설명 하고 한국표준과학연구원에서 이루어 진고 있는 연구 결과에 대해 발표 할 것이다.
-
플라즈마 분광진단 기술은 기존 프로브와 달리 플라즈마에 섭동을 일으키지 않고, 전자온도, 밀도와 같은 플라즈마의 물리적 특성 진단과 함께 라디컬의 밀도와 같은 플라즈마의 화학적 특성을 진단할 수 있는 기술로 각광을 받고 있다. 본 발표에서는 레이저진단의 고급 진단을 제외한 플라즈마 변수 측정을 위한 플라즈마 방출 스펙트럼을 이용하는 방출분광진단과 흡수 스펙트럼을 이용한 흡수분광 진단에 대한 소개와 함께, 이를 이용한 플라즈마의 전자여기온도, 전자밀도, 전자회전온도, 기체온도 및 중성종의 절대밀도 온도 측정에 대한 기술과 실례를 소개한다.
-
The materials for an electronic packaging provide diverse important functions including electrical contact to transfer signals from devices, isolation to protect from the environment and a path for heat conduction away from the devices. The packaging materials composed of metals, ceramics, polymers or combinations are crucial to the device operating properly and reliably. The demand of effective charge and heat transfer continuous to be challenge for the high-speed and high-power devices. Nanomaterials including graphene, carbon nanotube and boron nitride, have been designed for the purpose of exploiting the high thermal, electrical and mechanical properties by combining in the matrix of metal or polymer. In addition, considering the inherent electrical and surface properties of graphene, it is expected that graphene would be a good candidate for the surface layer of a template in the electroforming process. In this talk, I will present recent our on-going works in nanomaterials for microelectronic packaging: 1) porous graphene/Cu for heat dissipations, 2) carbon-metal composites for interconnects and 3) nanomaterials-epoxy composites as a thermal interface materials for electronic packaging.
-
본 발표에서는 공중에 떠있는 그래핀 기반 나노전기역학 시스템 (NEMS)의 기본 물성과 응용 방법에 대해 소개하고자 한다. 단겹 그래핀을 리본형태로 패턴하고 마이크로 전사기술을 통해 공중에 띄우는 공정을 이용한 그래핀 NEMS 소자 제작 방법을 먼저 소개하고 우리 연구그룹에서 지금까지 측정한 이 구조의 기본 역학적 물성 연구 결과를 소개한다. 미세 질량이 공중에 매달린 그래핀에 더해짐에 따라 역학적 공명 주파수가 줄어드는 현상을 이용하여 그래핀 기반 초미세 질량 센서 응용 방법에 대해 먼저 말하고 이후 같은 구조로 역학적 공명주파수를 이용한 RF 소자 응용 가능성에 대해서 이야기 하고자 한다. 마지막으로 다시 같은 구조를 통해 그래핀 자체에서 강한 가시광선이 발광되는 현상을 처음으로 발견한 내용에 대해 소개하고 이 현상에 대한 물리적 분석과 함께 응용 가능성을 제안하며 발표를 마무리하고자 한다.
-
Van der Waals (vdW) heterostructures built from two-dimensional layered materials provide an unprecedented opportunity in designing new material systems because the lack of dangling bonds on the vdW surfaces enables the creation of high-quality heterointerfaces without the constraint of atomically precise commensurability. In particular, the ability to build artificial heterostructures, combined with the recent advent of transition metal dichalcogenides, allows the fabrication of unique semiconductor heterostructures in an ultimate thickness limit for fundamental studies as well as novel device applications. In this talk, we will present the characterization of the electronic and optoelectronic properties of atomically thin p-n junctions consisting of vertically stacked WSe2 and MoS2 monolayers. We observed gate-tunable diode-like current rectification and a photovoltaic response across the p-n interface. Unlike conventional bulk p-n junctions, the tunneling-assisted interlayer recombination of the majority carriers is responsible for the tenability of the charge transport and the photovoltaic response. Furthermore, we will discuss the enhanced optoelectronic characteristics in graphene-sandwiched vdW p-n junctions.
-
육각형 구조의 탄소원자가 이차원으로 배열된 그래핀은 고유의 전기적, 광학적 특성으로 인하여 새로운 전기소자, 광학소자 및 광전소자를 구성하는 유망한 물질로써 많은 주목을 받고 있다. 특히 넓은 파장 대역에서의 일정한 광 흡수 특성, 높은 비선형 광학 계수 및 수백 펨토초의 매우 빠른 비선형 응답시간으로 인하여 최근 그래핀을 기반으로 하는 광대역 광 편광소자, 비선형 광 신호 발생기, 광 포화 흡수체 및 광 제한기 (optical limiter) 등이 보고되고 있다. 본 발표에서는 그래핀 등 저차원 물질의 비선형 포토닉스 응용에 관한 최근 연구에 관하여 살펴보고자 한다. 특히 그래핀 소자의 전기적 조절을 통한 극초단 레이저 펄스의 발생 및 제어 특성을 살펴보고 나아가 높은 효율을 가지는 도파로 기반 그래핀 광전소자 구현 가능성 및 그 한계에 관해 살펴보고자 한다.
-
Many of the complex materials developed today derive their unique properties from the presence of multiple phases or from local variations in elemental concentration. Simply performing analysis of the bulk materials is not sufficient to achieve a true understanding of their physical and chemical natures. Secondary ion mass spectrometer (SIMS) has met with a great deal of success in material characterization. The basis of SIMS is the use of a focused ion beam to erode sample atoms from the selected region. The atoms undergo a charge exchange with their local environment, resulting in their conversion to positive and negative secondary ions. The mass spectrometric analysis of these secondary ions is a robust method capable of identifying elemental distribution from hydrogen to uranium with detectability of the parts per million (ppm) or parts per billion (ppb) in atomic range. Nano secondary ion mass spectrometer (Nano SIMS, Cameca Nano-SIMS 50) equipped with the reactive ion such as a cesium gun and duoplasmatron gun has a spatial resolution of 50 nm which is much smaller than other SIMS. Therefore, Nano SIMS is a very valuable tool to map the spatial distribution of elements on the surface of various materials In this talk, the surface imaging applications of Nano SIMS in KBSI will be presented.
-
For the rational design and facile fabrication of novel nanostructures, we present a new approach to generating arrays of three-dimensionally tunable nanostructures by exploiting light-matter interaction. To create controlled three-dimensional (3D) nanostructures, we utilize the 3D spatial distribution of light, induced by the light-matter interaction, within the matter to be patterned. As a systematic approach, we establish 3D modeling that integrates the physical and chemical effects of the photolithographic process. Based on a comprehensive analysis of structural formation process and nanoscale features through this modeling, we are able to realize three-dimensionally tunable nanostructures using facile photolithographic process. Here we first demonstrate the arrays of three-dimensionally controlled, stacked nanostructures with nanoscale, tunable layers. We expect that the promising strategy would open new opportunities to produce the arrays of tunable 3D nanostructures using more accessible and facile fabrication process for various biomedical applications ranging from biosensors to drug delivery devices.
-
진공산업 분야에서 OLED 디스플레이가 큰 시장으로 성장하며 크라이오 펌프가운데서 특별히 수분만 집중적으로 배기할 수 있는 워터펌프(CWP or cold trap)가 각광받고 있다. 이에 현민지브이티(Genesis)는 중소기업청 중소기업개발지원사업의 일환으로 진행된 2014년도 구매조건부 신제품 개발사업에 선정되어 '극저온 G-M냉동기를 이용한 대용량 Cold Trap개발'과제를 수행하면서 32인치 급으로 수분에 대해서 30,000[L/s] 이상의 배기속도를 가지는 대형 CWP를 개발하고 있다. 그 일환으로 본 CWP에 장착할 80K에서 200W급 단단 G-M 냉동기를 2015년 국내 최초로 개발하였다. 본 HPS80200(200W @80K) 단단 G-M냉동기에 대한 성능시험을 수행하던 중 기존 평가방식에 보완할 부분이 있음을 확인하였다. 통상적으로 G-M냉동기의 냉동능력은 저온 스테이지 상단에 온도센서와 히터가 설치된 히터 블럭을 장착한 후 일정한 열부하를 인가하면서 77K 또는 80K에서의 냉동능력을 측정한다. 문제는 여기에 설치하는 온도센서의 장착 위치의 중요성이다. 즉, 어느 부위의 온도를 냉동기의 대표 온도로 정하느냐에 따라 냉동기의 성능 값에 큰 차이를 나타내기 때문이다. 실제 확인 결과, 온도는 히터블럭 내의 위치뿐 아니라 저온 스테이지 부위별로도 크게 차이를 보였다. 따라서 본 연구에서는 보다 합리적이고 정확한 냉동 능력 측정을 위해 77K 에서 냉동기의 질소 액화 능력으로 냉동능력을 평가하고자 하였다. 이를 통해 개발된 HPS80200냉동기의 보다 정확한 냉동능력(77K에서 200W 이상임을 확인함)을 측정할 수 있었고 냉동기의 저온 스테이지에서 대표온도로 설정할 수 있는 위치도 확인할 수 있었다.
-
현민지브이티(Genesis)는 중소기업청 중소기업개발지원사업의 일환으로 진행된 2014년도 구매조건부 신제품 개발사업에 선정되어 '극저온 G-M냉동기를 이용한 대용량 Cold Trap개발'과제를 수행하면서 32인치 급으로 수분에 대해서 30,000[L/s] 이상의 배기속도를 가지는 대형 CWP를 개발하고 있다. 1차년도(2015년) 목표는 80K에서 200W급 단단 G-M극저온 냉동기를 개발하는 것이고, 2차년도(2016년) 목표는 이를 장착하여 30,000[L/s]의 물 배기속도 능력을 갖춘 32인치(800mm)급 직부형(appendage) CWP를 개발하는 것이다. 여기에서 가장 큰 문제점은 CWP 시스템의 물 배기속도를 실제로 측정하는 것이다. 왜냐하면 지금까지는 물(H2O)이 가진 독특한 물리적 특성으로 인해 배기속도 측정에 많은 어려움이 있어 이론적으로 계산한 값을 사용해 왔다. (심지어 크라이오 펌프 제조사 조차도 실험하지 않고 이론적인 계산 값을 일반적으로 사용한다.) 그러나 최근 본 과제 외에 물 배기속도 측정에 관한 요구사례와 일부 크라이오 펌프 제조사에서 수행하고 있다는 보고가 있는 바, 실제 물배기속도 시스템을 구축하여 이론과 실제 사이의 차이와 측정의 어려움 등에 관해 규명하고자 하였다. 물 배기속도 측정 방법은 크게 2가지로 나눌 수 있다. 첫째, 시스템으로 흘리는 물의 양을 Liquid MFC를 이용하여 먼저 측정한 후 Vaporizer로 보내어 기화 시키며 배기속도를 측정하는 방법. 둘째, 물을 Vaporizer로 먼저 기화시킨 후에 High Temp. MFM으로 기체 유량을 측정하며 배기속도를 측정하는 방법이 그것이다. 이에 국내 최초로 두 가지 방법 모두를 사용하여 표준화 된 물 배기속도 측정 설비를 구축하였고, 20인치(500mm) 크라이오 펌프와 인라인(inline)형 CWP 모델에 대한 물 배기속도 측정을 성공적으로 완료할 수 있었다. 향후 본 시험 방법과 결과를 토대로 32인치(800mm) 직부형 CWP 모델에 대한 물 배기속도 측정시험을 수행하고자 한다.
-
국내외 최첨단 반도체 및 평판 디스플레이 공정에서 필요한 개별 건식 진공펌프들의 자기진단을 통한 예지보수의 실시간 구현 장치 개발과제의 2차년 전반기 수행된 연구결과의 일부를 본 논문에서 소개한다. 본 연구에서 최종 목표로 설정하고 있는 "smart" 진공펌프란 운전상태에 관련된 변수들의 측정치를 기반으로 한 자기진단 (self-diagnostics) 기능을 내장한 차세대 공정용 진공펌프를 의미한다. 1차년에 선정된 상태진단용 진공펌프의 상태변수(state variable)들의 효과적인 수집을 구현하기 위한 연구가 진행되었다. 기존의 반도체 공정용 진공펌프들에서 측정하고 있는 상태변수로는 온도, 유량, 배기관 압력, 모터 소비전류 등과 같은 정적인 변수들뿐 아니라, 회전기계류의 상태진단에 필수적인 진동신호를 추가한 상태 변수 수집 장치를 개발하였다. 본 연구팀은 진공펌프의 회전진동을 유발하는 대표적인 부품은 회전체, 베어링, 그리고 치차로 이들 3 종의 회전진동성분들을 효과적으로 측정할 수 있는 신호처리 기법을 개발하였다. 금번 연구에서 채택한 진동신호 처리기법은 초고속 FFT 변환 기반의 주파수 대역 별 진동 레벨 환산과 더불어 다단계로 구성된 디지털 필터 (multi-staged decimation filter) 기법을 개발 적용하였다. 이러한 신호처리 기법을 통하여 측정된 진동 신호로부터 회전체, 베어링, 그리고 치차의 회전 진동성분을 효과적으로 측정하는 방법을 금번 학술대회에서 소개한다. 그리고, 진공펌프 상태진단에 필요한 상태변수의 실시간 backup 방법, 그리고 공정관리 server와 통신기능, 그리고 펌프 현장 관리자용 PC와 통신 기법 등 상태변수 측정 모듈의 확장성에 대한 기술적 내용을 소개한다.
-
4세대 방사광가속기는 0.1nm급 X-선 자유전자레이저(X-ray Free Electron Laser : XFEL) 광원을 빔라인 사용자들에게 제공하기 위하여 2011년 건설을 시작하였고, 2015년부터 장치를 설치하기 시작했으며 현재 건설 완료단계에 이르러 있다. 이 장치에서 진공시스템은 10-11 mbar의 초고진공이 요구되는 전자빔 발생장치인 RF Gun을 포함하는 입사장치구간(Injector)과 전체길이 800 m에 이르는 전자빔을 가속시키는 선형가속기구간(Linac) 그리고 결맞음 방사광을 발생시키는 언듈레이터구간으로 나눌 수 있다. 본 논문에서는 각 구간별 진공시스템에 대한 건설 현황에 대하여 보고하고자 한다.
-
진공 중 산화처리 방법으로 스테인레스강 표면에 형성한 크롬 산화막에 의한 수소 기체방출 저감 효과를 수치해석 방법으로 분석하였다. 스테인레스 강 진공 용기를 진공 중 산화처리하면 표면의 확산 방지막 효과에 의하여 수소 기체방출률을 낮출 수 있다고 알려져 있으나 그 구체적인 원리는 명확하지 않다. 표면 크롬 산화막의 수소 확산계수가 스테인레스 강 내부의 확산계수보다 작으므로 수소의 확산을 지연시켜 기체방출을 낮춘다는 설명이 가능하지만, 크롬 산화막의 두께 및 확산계수가 미치는 영향을 정량적으로 분석한 예는 없었다. 본 발표에서는 스테인레스강 진공용기의 크롬산화막과 모재 내부에 서로 다른 확산계수를 부여한 후 기체방출에 관여하는 확산 방정식을 수치해석으로 풂으로써, 표면의 확산 방지막에 의한 기체방출 저감 효과를 설명하고자 한다.
-
주사전자현미경은 시료표면에 전자빔을 주사하여 시료와 전자빔간의 상호작용으로 발생하는 이차전자(SE)와 후방산란전자(BSE)를 이용하여 시료표면을 관찰하는 장비이다. 일반적으로 텅스텐필라멘트를 사용하며, 10E-5 mbar이하 압력의 고진공에서 시료관찰이 이루어진다. 고진공 시료관찰시 도체 시료는 표면 코팅 없이 관찰이 가능하지만, 부도체 시료의 경우 전자빔에 의한 대전(Charging)현상이 발생하여 이미지가 왜곡되며, 이를 방지하기 위해 금, 백금 등의 금속을 표면에 코팅하여야 한다. 하지만 10E-1 mbar 이상 압력의 저진공에서는 부도체 시료도 전자빔에 의한 대전(Charging)현상이 발생하지 않아 생물시료 등의 부도체 시료를 표면코팅 없이 관찰할 수 있다. 본 발표에서는 현재 개발 중인 CeB6 필라멘트를 탑재한 저진공 주사전자현미경의 차동배기구조를 보여준다. 차동배기에 의해 가동 압력 10E-1 mbar이상의 저진공을 유지하는 시료실과 CeB6 필라멘트를 사용하기 위한 10E-6 mbar이하의 고진공을 유지하는 전자총실의 진공 배기특성을 보고한다.
-
포항가속기연구소 PLS-II 저장링에 설치 운용을 위한 타원편광 언듈레이터(EPU114)용 초고진공 진공 챔버는 전체를 기계가공 후 용접하여 제작하는 기존의 방식을 바꾸어 알루미늄 압출 공법을 적용한 진공 챔버의 개발과 본제품 제작을 완료하였다. 압출 공법을 이용한 초고진공용 진공 챔버 제작은 기계가공 및 용접비용의 절감과 동일 형상의 진공 챔버를 상대적으로 쉽게 대량 생산을 할 수 있는 장점이 있다. 알루미늄 압출형 초고진공 진공 챔버의 기계, 진공 특성을 달성하기 위하여 정밀금형 제작기술, 특수압출기술, 표면처리기술 등이 필수적이다. 본 발표에서는 초고진공 진공 챔버 개발을 위하여 적용된 압출 공법의 소개와 함께 압출 공법이 적용된 타원편광 언듈레이터(EPU114)진공 챔버의 제작, 초고진공 진공달성, 설치 등의 과정을 내용으로 한다.
-
3D NAND 제조에 있어 high-aspect-ratio etch 공정을 견뎌낼 수 있는 hardmask 소재로서 amorphous carbon layer (ACL) 가 각광받고 있으며 hardmask로서의 특성을 향상시키기 위해 다양한 연구가 진행중에 있다 [1]. 본 연구팀의 기존 연구에서 질소 및 붕소 doping 된 ACL 박막의 etch rate 및 Raman 분석을 통해 박막 특성을 확인한 바 있었으나, 공정 중 arcing이 일어나는 등 의도치 않은 문제로 인해 공정 최적화에 일부 문제가 존재하였다. 본 연구에서는 plasma enhanced chemical vapor deposition (PECVD) 공정을 통해 C6H12 기체 및 doping을 위한 NH3 와 B2H6 두 기체를 이용하여 특성 개선된 ACL을 증착하는 과정에서 발생하는 arcing 및 증착 특성을 규명하고자 진공 내 입자의 수농도를 실시간 측정할 수 있는 particle beam mass spectrometer(PBMS)를 적용, 특정 공정 사건 진단 및 해당 사건에서 발생하는 입자를 분석, 증착된 박막의 Raman spectroscopy 결과와 비교 분석하였다.
-
크라이오 워터펌프(CWP)는 크라이오 펌프(CP)와 달리 10 K 활성탄 어레이는 장착하지 않고 100 K 정도로 냉각시킨 배플만을 사용하여 물의 배기속도를 최적화 하는 데 초점을 맞춘 진공펌프다. 용기 압력이 10-9 mbar 대가 될 때까지는 잔류기체의 90% 이상이 수분이므로 다른 기체들의 배기 보다는 물을 잘 배기하는 것이 배기시간을 단축하고 도달 진공도를 낮추는 첩경이라는 아이디어에 근거를 두고 있다. CWP는 물 흡착확률을 거의 1에 가깝게 만들어서 오리피스 컨덕턴스에 육박하는 이상적인 펌프를 제작할 수 있지만 용도상 직부형(close type), 통과형(in-line type) 및 내장형(in-vessel type) 등 세 가지 다른 형태에 따라 성능도 약간씩 다르다. CWP는 모든 기체에 반응하는 정통적인 CP에 비해 훨씬 간단하고 저렴하게 만들 수 있으면서도 진공 시스템에 큰 영향을 미칠 수 있지만 물 이외의 기체들을 배기할 펌프가 필요하다는 측면에서 활용에 제약이 있다. 만일 TMP를 이미 사용하고 있거나 작은 TMP를 추가로 달아서 충분히 작동이 가능한 시스템이면서 수분 발생이 많은 곳이나, 또 활성탄의 오염이나 산소 분위기 등 CP 투입이 꺼려지는 환경이라면 CWP 사용이 좋은 선택이 될 수 있다. CWP의 물 배기용량은 CP의 알곤이나 질소 배기용량에 준하는 크기로 0.5g/cm2 이상임이 실험적으로 입증되었다. 따라서 일반적인 상황에서 정상 작동시 대부분의 기체는 TMP로 배출하고 잔류 수분만 포집하므로 CP처럼 주기적인 재생이 필요 없다. 필요하다면 CWP는 금속 표면에 응축된 물을 드라이펌프 작동만으로 쉽게 제거할 수 있고 혹시 오염 물질이 붙어도 세척이 용이하다. 이런 사용상 융통성과 여러 가지 장점에도 불구하고 그동안 물배기에 대한 인식이 미흡하고, 또 부수적이고 추가적인 비용이 드는 것으로 생각되어 주목을 받지 못했지만 디스플레이와 반도체 산업을 필두로 물 분압을 낮추고 생산수율을 높이는 것에 점점 더 관심이 높아지면서 CWP에 대한 수요도 높아지고 있다. 본 보고에서는 20인치 통과형 CWP를 만들고 14인치 TMP에 얹어 복합 진공배기시스템을 구성한 후 물 배기속도와 알곤, 질소 및 수소 배기속도를 측정하고 예측치와 비교했다. 아울러 물 배기용량 측정 및 CWP의 온도제어와 펌프재생 특성 평가 결과도 정리했다.
-
가열탈기체 처리하면 표면의 물 분자를 빠르게 탈리시켜 단시간에 배기하는 동시에 진공용기 재료 내부의 수소 확산속도를 가속하므로 처리 후 수소 기체방출도 현저하게 낮출 수 있다. 가열탈기체 후의 진공계에서는 물 분자는 일부만 남고 진공용기 재료 내부에서 확산 되어 나온 수소가 잔류가스의 대부분이 된다. 이러한 가열탈기체 처리의 효과에 대해서는 익히 알려져 있으나 정량적으로 예측하기는 쉽지 않았다. 본 연구에서는 가열탈기체 조건이 수소 확산에 미치는 영향에 초점을 맞추어, 진공용기의 재료 및 두께에 따라 목표 진공도에 도달하기 위한 가열탈기체 처리 온도와 시간의 최적 조합을 수치 해석적으로 계산하고 비교하였다.
-
RAON은 우라늄과 같은 무거운 이온을 가속시키는 한국형 중이온 가속기로서 현재 양산에 필요한 실험 시설이 구축되고 있다. 이온원을 생성하고 생성된 중이온 빔을 손실 없이 가속시키기 위해서는 빔의 경로인 입사기장치, 가속장치, 실험장치에서 요구하는 최적의 진공 설계가 이루어져야 하며, 이를 제어하기 위해 진공 기기들과의 데이터 통신 및 기기를 보호하기 위한 인터록 로직을 구성하여야 한다. RAON의 진공부 인터록 로직 및 제어 시퀀스는 Programmable Logic Controller (PLC)으로 구성되며, Experimental Physics and Industrial Control System (EPICS) 환경에 통합되어 중앙 제어 시스템에서 관리됨과 동시에 Control System Studio (CSS)를 통해 모니터링 될 것이다. 이를 위해서는 CSS 및 PLC 와 데이터를 송수신할 수 있는 EPICS IOC를 구성하여야 한다. 본 문서에서는 진공 기기들의 정보를 로컬 PLC에서 수집하고, 진공 상태 및 진공 기기들의 작동을 위한 User Interface (UI) 및 EPICS IOC를 구성하는 방법에 대해 논의할 것이다. 진공부 제어 사전 테스트를 위해 프로토 타입 진공 제어 시스템을 구성하였으며, 이를 바탕으로 추후 최적화 된 RAON의 진공 제어 시스템을 구축할 수 있을 것으로 기대한다.
-
PFA 라이닝 밸브 (PFA Lined Valve)는 고온 (~120oC) 고압 (~10 bar)에서 강한 부식성을 갖은 화학물질 (염산, 황산, 질산 등)을 이송시킬 수 있도록 내식성, 비점착성, 내열성 향상을 위한 불소계 수지 PFA (Perfluoroalkoxy)가 밸브 내면부에 가공되어 있으며, 고순도 화학약품 및 반도체 LCD 석유화학 제철 제약 분야 등의 제조공정에서 사용되고 있다. 미국 EPA (Environmental Protection Agency)에서는 석유화학 플랜트에서 발생되는 비산배출 (fugitive emission)의 대부분인 60% 정도가 밸브에서 발생된다고 보고하고 있으며, 근래 빈번한 불산 노출사고 내용을 매스컴에서 접할 수 있는 것처럼 PFA 라이닝 밸브가 설치되는 산업현장에서는 미소 누설에도 치명적인 인명피해 사고가 발생하기 때문에 국제규격에 따른 PFA 라이닝 밸브의 기밀누설에 관한 규제 및 관리가 중요하다. 본 논문에서는 가압진공법을 이용하여 PFA 라이닝 볼밸브의 비산배출 측정하였으며, 작동횟수/온도변화에 따른 PFA 라이닝 볼밸브의 비산배출 특성을 고찰하였다.
-
The excitonic insulator (EI), which is one of fundamental insulators, was theoretically proposed in 1967 but its material realization has not been established well. Only a few materials were proposed as EIs but their experimental evidences were indirect such as the renormalization of band dispersions or an anomaly in electrical resistivity. We conducted scanning tunneling microscopy / spectroscopy measurements and found out that
$Ta_2$ $NiSe_5$ , which was the most recently proposed as an EI, had a metal-insulator phase transition with the energy gap of 700 meV at 78 K. Moreover, the spatially delocalized excitonic energy level was observed within the energy gap, which could be the direct evidence of the EI ground state. Our theoretical model calculation with the order parameter of 150 meV reproduces the spectral function and the excitonic energy gap very well. In addition, experimental data shows that the band character is inverted at the valence and conduction band edges by the exciton formation, indicating that the mechanism of exciton condensation is similar to the Bardeen-Cooper-Schrieffer (BCS) mechanism of cooper pairs in superconductors. -
Semiconductor nanowires are essential building blocks for various nanotechnologies including energy conversion, optoelectronics, and thermoelectric devices. Bottom-up synthetic approach utilizing metal catalyst and vapor phase precursor molecules (i.e., vapor - liquid - solid (VLS) method) is widely employed to grow semiconductor nanowires. Al has received attention as growth catalyst since it is free from contamination issue of Si nanowire leading to the deterioration of electrical properties. Al-catalyzed Si nanowire growth, however, unlike Au-Si system, has relatively narrow window for stable growth, showing highly tapered sidewall structure at high temperature condition. Although surface chemistry is generally known for its role on the crystal growth, it is still unclear how surface adsorbates such as hydrogen atoms and the nanowire sidewall morphology interrelate in VLS growth. Here, we use real-time in situ infrared spectroscopy to confirm the presence of surface hydrogen atoms chemisorbed on Si nanowire sidewalls grown from Al catalyst and demonstrate they are necessary to prevent unwanted tapering of nanowire. We analyze the surface coverage of hydrogen atoms quantitatively via comparison of Si-H vibration modes measured during growth with those obtained from postgrowth measurement. Our findings suggest that the surface adsorbed hydrogen plays a critical role in preventing nanowire sidewall tapering and provide new insights for the role of surface chemistry in VLS growth.
-
Si은 값싸고 넓은 시설기반을 갖추고 있어, 발전산업에서 태양광소자의 주원료로 널리 사용된다. 하지만 Si은 간접 띠틈을 Si의 특성을 개선하기 위해 최근 Si에 특정한 결함을 넣어 직접 띠틈으로 바꿔 광효율을 높이려는 시도가 있다. 2015년 초 Si단결정[111]으로 Seiwatz-chain 형태의 결함이 있다면 결함이 있는 Si(111)에 직접 띠틈이 생길 것 이라고 이론적으로 예상했다. 이러한 구조의 제작방법으로 Ca/Si(111)과 Si(111)을 접합 후 가열하여 Ca을 빼내는 방법을 제시했다[1]. 본 연구에서는 이 제작방법 외에 Ca/Si(111)-
$2{\times}1$ 표면에서[2] 에피성장으로 결함이 유지된 Si단결정 형성가능성을 제일원리 계산을 통해 연구했다. 제일원리 계산방법으로는 VASP(Vienna Ab-initio Simulation Package)를 이용하였다. Si원자 한개, 두 개, 세 개가 흡착될 경우 원자당 흡착에너지는 각각 3.73 eV, 3.73 eV, 3.91 eV 였다. 따라서 Si원자는 무리형태로 흡착될 것으로 예상되어 결함을 유지하며 단결정으로 성장하기는 어려울 것으로 보인다. -
연구된 Si위의 흡착원자들의 확산 메커니즘들에 비해 Ge 표면에서의 확산 메커니즘은 잘 알려져 있지 않다. 최근 연구에 따르면, 수소가 덮인 Ge(110) 표면에서 그래핀 결정 핵생성은 비등방적이며, 낟알 둘레없이 웨이퍼 크기로 성장시킬 수 있음을 보였다. 본 연구에서는 VASP(Vienna Ab-initio Simulation Package)의 NEB(Nudged Elastic Band) 방법을 이용하여 수소가 덮인 Ge(110) 표면과 청결한 표면에서 탄소원자의 확산 과정과 확산에 따른 에너지 장벽을 계산 하였다. 계산 결과 수소가 덮인 표면에서의 탄소원자 확산은 체인 방향으로 각각 3.29 eV, 2.67 eV의 에너지 장벽을 가지고 청결한 표면에서는 탄소원자가 게르마늄 연결을 치환하며 확산한다. 이때 에너지 장벽은 0.82 eV이고 치환된 게르마늄이 확산할 때는 각각 0.64 eV, 0.59 eV의 에너지 장벽을 넘어야 한다. 결과적으로 수소가 덮인 표면에서보다 청결한 표면에서 탄소 확산 에너지 장벽이 낮으며, 청결한 표면에서는 탄소가 게르마늄을 치환하고 치환된 게르마늄이 확산할 확률이 높음을 알 수 있었다.
-
Nitrided-metal gates on the high-
${\kappa}$ dielectric material are widely studied because of their use for sub-20nm semiconductor devices and the academic interest for the evanescent states at the Si/insulator interface. Issues in these systems with the Si substrate are the electron mobility degradation and the reliability problems caused from N defects that permeates between the Si and the$SiO_2$ buffer layer interface from the nitrided-gate during the gate deposition process. Previous studies proposed the N defect structures with the gap states at the Si band gap region. However, recent experimental data shows the possibility of the most stable structure without any N defect state between the bulk Si valence band maximum (VBM) and conduction band minimum (CBM). In this talk, we present a new type of the N defect structure and the electronic structure of the proposed structure by using the first-principles calculation. We find that the pair structure of N atoms at the$Si/SiO_2$ interface has the lowest energy among the structures considered. In the electronic structure, the N pair changes the eigenvalue of the silicon-induced gap state (SIGS) that is spatially localized at the interface and energetically located just above the bulk VBM. With increase of the number of N defects, the SIGS gradually disappears in the bulk Si gap region, as a result, the system gap is increased by the N defect. We find that the SIGS shift with the N defect mainly originates from the change of the kinetic energy part of the eigenstate by the reduction of the SIGS modulation for the incorporated N defect. -
본 발표에서는 DFT를 사용하여 개미산으로부터 수소 생산용 Pd 기반 합금 촉매의 합리적 설계를 다룰 것이다. 특히, 합금 촉매 효과 [ligand (electronic) 및 strain effect)]가 어떻게 개미산으로부터 수소 생산의 반응성과 선택도에 영향을 미칠 수 있는지를 조사하였다. 이러한 결과는 높은 촉매 성능을 달성하기 위해서 다성분 촉매의 표면 활성을 타당하게 제어하는 것이 얼마는 중요한지를 보여준다 [J. Phys. Chem. C, 118, 22254-22560 (2014), J. Nanosci. and Nanotech., 15, 8233-8237 (2015), ACS Catalysis 6 (1), 134-142 (2016)].
-
투명히터는 자동차유리 및 헤드램프의 성에 제거, 건축의 단열 및 난방, 의료용, 군사용 등 다양하게 사용되어지고 있으며, 더 나아가 플렉서블하고 웨어러블한 투명히터가 연구되고 있다. 투명히터에 사용되고 있는 대표적 투명전극인 Indium Tin Oxide (ITO)는 높은 투과도와 낮은 면저항을 가지지만 유연성이 좋지 않아 유연한 투명히터에 적용하기에는 어려움이 있다. 이를 해결하기 위해서 ITO를 대체할 수 있는 CNT, Graphene, AgNW, 전도성 고분자 등의 투명전극에 관한 연구가 활발히 진행되고 있다. 그러나 CNT, Grapene, 전도성 고분자는 여전히 전기적 특성이 좋지 못하기 때문에 차세대 투명전극으로 사용되기는 어려움이 있다. 반면에 AgNW는 용액공정으로 제조 단가가 비교적 저렴하며, 높은 전기전도 특성을 가지는 투명전극이다. AgNW는 나노와이어가 네트워크를 형성하고 있어 높은 전도성과 광 투과도를 가지지만
$200^{\circ}C$ 이상의 온도에서 손상된다. 이를 해결하기 위해 AgNW전극에 금속 산화막을 형성하여 내열성을 향상시키고자 하였다. 그러나 기존의 Reactive Sputter 방식으로 금속 산화막을 형성하게 되면 산소 분위기에서 AgNW가 산화되기 때문에 본 연구에서는 AgNW위에 금속 박막을 증착하고 Ion Beam 처리를 통해서 금속 산화막을 형성하여 AgNW 전극과 유사한 투과도와 저항을 가지면서$300^{\circ}C$ 까지 열적 안정성을 확보하여 내열성을 향상시켰다. 유연한 PES기판 위에 스핀 코팅 방법으로 AgNW를 코팅하였고, Magnetron Sputter로 금속 박막을 형성한 후 Ion Beam 처리를 통해 금속 산화막을 형성하였다. 이를 적용하여 투명히터를 제작한 결과 유연 기판상 투명히터로 활용이 가능함을 확인하였다. -
차세대 디스플레이로 유연하고 투명한 기능들이 요구되면서 Indium Tin Oxide(ITO)를 대체하기 위한 투명전극 개발 연구가 많이 수행되고 있다. ITO는 높은 투과도와 낮은 저항으로 현재 가장 많이 활용되고 있는 투명전극 소재이지만 유연성이 떨어져 유연 터치 패널 소재로 활용하기 어렵다. 이러한 문제 해결을 위해 ITO 대체 물질로 CNT, Graphene, Metal mesh, Ag nano wire, 전도성 고분자 등의 차세대 투명 전극 소재가 대두되고 있다. 본 연구에서는 메탈 메쉬 전극 소재로 사용하기 위해 Cu 박막 증착 시 플라즈마 표면처리를 통해 밀착력 및 저항을 개선하였다. Cu 금속 박막의 양산화를 위한 공정으로 자체 제작한 Linear Ion Source(LIS)가 부착된 roll to roll 시스템을 적용하여 플라즈마 전처리 공정 및 Ni buffer layer 도입 이후 Cu 박막을 형성하였다. 그 결과 PET 기판과 Cu 박막 사이의 밀착력을 0 degree에서 5 degree까지 향상시킬 수 있었고, 플라즈마 표면처리를 시행함으로써 저항 또한 감소되는 결과를 얻을 수 있었다. 본 연구를 통해서 폴리머 기판 소재에 in-situ로 표면처리 및 Cu 금속 박막을 증착함으로써 금속 박막의 밀착력 및 전기적 특성이 향상되는 공정 기술을 개발하였다.
-
Multiferroic materials are of great interest because of its potential applications in the design of devices combining magnetic, electronic and optical functionalities. Among various multiferroic materials,
$BiFeO_3$ (BFO) is known to be one of the intensively focused mainly due to the possibility of multiferroism at device working temperature (>$200^{\circ}C$ ). However, leakage current and weak polarization resulting from oxygen deficiency and crystalline defect should be resolved. Furthermore the magnetic ordering of pure BFO mainly prefers to have antiferromagnetic coupling. Up to now many attempts have been performed to improve the ferromagnetic and the ferroelectric properties of BFO by doping. In this work, we investigated the effects of Ni substitution on the multiferroism of bulk BFO. Four BFO samples (a pure BFO and three Ni-doped BFO's;$BiFe_{0.99}Ni_{0.01}O_3$ ,$BiFe_{0.98}Ni_{0.02}O_3$ and$BiFe_{0.97}Ni_{0.03}O_3$ ) were synthesized by the standard solid-state reaction and rapid sintering technique. The XRD results reveal that Ni atoms are substituted into Fe-sites and give rise to phase transition of cubic to rhombohedal. By using vibrating sample magnetometer and standard ferroelectric tester, the multiferroic properties at room temperature were characterized. We found that the magnetic moment of Ni-doped BFO turned out to be maximized for 3% of Ni dopant. -
Choi, Hyejin;Jung, Seonghoon;Ahn, Min;Yang, Won Jun;Han, Jeong Hwa;Jung, Hoon;Jeong, Kwangho;Park, Jaehun;Cho, Mann-Ho 139.2
Electrons and phonons in chalcogenide-based materials play are important factors in the performance of an optical data storage media and thermoelectric devices. However, the fundamental kinetics of carriers in chalcogenide materials remains controversial, and active debate continues over the mechanism responsible for carrier relaxation. In this study, we investigated ultrafast carrier dynamics in an multilayered$\{Sb(3{\AA})/Te(9{\AA})\}n$ thin film during the transition from the amorphous to the crystalline phase using optical pump terahertz probe spectroscopy (OPTP), which permits the relationship between structural phase transition and optical property transitions to be examined. Using THz-TDS, we demonstrated that optical conductance and carrier concentration change as a function of annealing temperature with a contact-free optical technique. Moreover, we observed that the topological surface state (TSS) affects the degree of enhancement of carrier lifetime, which is closely related to the degree of spin-orbit coupling (SOC). The combination of an optical technique and a proposed carrier relaxation mechanism provides a powerful tool for monitoring TSS and SOC. Consequently, the response of the amorphous phase is dominated by an electron-phonon coupling effect, while that of the crystalline structure is controlled by a Dirac surface state and SOC effects. These results are important for understanding the fundamental physics of phase change materials and for optimizing and designing materials with better performance in optoelectronic devices. -
We have measured DNA translocation through a nanocapillary functionalized with probe DNA. These DNA-functionalized nanocapillaries selectively facilitate the translocation of target ssDNAs that are complementary to the probe ssDNAs. In addition, translocation of the complementary target ssDNA exhibits two tendencies to translocation speed, such as fast and slow translocation, whereas that of non-complementary target ssDNA yields only one tendency, fast translocation. These observations suggest that the complementary and non-complementary target ssDNAs may be discriminated due to different interaction strengths between target and probe ssDNAs. The temperature dependence measurements of DNA translocation show that slow translocation events are ascribed to the complementary interaction between probe and target ssDNA. This confirms that their dwell time is dependent on the base-pair binding strength. These results demonstrate that mere single-base different target DNA can be selectively detectable by using the probe DNA-functionalized nanocapillaries.
-
Despite the growing interest in GeTe as a archetypal displacive ferroelectric material as well as the basis of related materials used in data-storage applications, atom-resolved study of single crystalline GeTe surface been lacking. Using low temperature scanning tunneling microscopy (STM) and spectroscopy (STS), we investigated as-cleaved and annealed surfaces of GeTe. We found that as-cleaved GeTe(111) surface is composed of at least two kinds of terraces at 78 K. While two terraces show metallic characteristics, they also exhibit distinctive I-V spectra and imaging conditions, with each being attributed to Ge-terminated, and Te-terminated surfaces respectively. GeTe(111) surfaces annealed at moderately elevated temperature introduces intricate networks of extended defect structures. We will present these data and discuss the role of vacancies in the formation of these structures.
-
저분자 유기태양전지에 사용되는 zinc phthalocyanine(ZnPc)기반의 유기 2층 박막 구조인 ZnPc/C60와 ZnPc/C70에서, 열처리 온도에 따른 유기물층 계면의 변화, ZnPc 층의 격자상수와 응력 변화를 x-ray reflectivity와 GIWAXS 측정을 이용하여 연구하였다. C60 fullerene 층이 있는 ZnPc의 계면은 열처리 온도가 증가하면서 계면의 거칠기가 증가하였으나, C70 fullerene 층이 있을 때는 180도의 고온에서도 계면 거칠기가 증가하지 않고 안정한 상태를 유지하였다. Fullerene층이 있는 ZnPc는 단일 ZnPc 박막에 비해 압축 응력(compressive strain)을 더 받게 되나, 박막의 열처리 온도가 증가함에 따라 응력이 점진적으로 감소하게 된다. 특히 C70 fullerene 층이 있는 경우 ZnPc의 경우 180도에서 응력이 모두 사라진다. 이러한 fullerene 종류에 따른 박막의 응력과 계면의 안정성 특성은 표면 모폴로지에 영향을 주게 되어, ZnPC/C60 박막의 경우 ZnPc/C70에 비해 약 2배 큰 120nm의 grain을 갖게 된다.
-
디스플레이, 센서 등 전자소자는 소형화 단계를 지나 인체 부착형 소자로의 발전을 요구하고 있다. 인체 부착을 위해서는 민감한 피부에 장시간 부착시 무해성과 탈부착의 자유로움이 요구되기에 기존의 화학물질을 활용한 접착 방식에서 개코도마뱀 또는 딱정벌레 발바닥에서 영감을 얻은 자연모사형 건식 접착 방식에 대한 연구가 활발하게 진행되고 있다. 폴리머를 이용하여 자연모사형 마이크로/나노구조 형성은 기계적으로 가공된 금형 몰딩과 후처리를 통한 매우 복잡한 공정이 요구된다. 본 연구에서는 이러한 복잡한 공정을 단순화하기 위해서 폴리머 소재에 플라즈마를 활용한 나노구조를 형성하는 방법을 소개하고자 하며, 건식 접착용 폴리머 소재(PMMA, PDMS)에 따른 표면구조 변화와 표면에너지 변화에 대한 연구를 수행하였다. 플라즈마 표면처리를 위해서 본 연구실에서 자체 개발한 선형이온소스를 활용하였으며 입사에너지, 노출시간, 사용가스에 따른 표면형상 변화를 주사전자현미경을 활용하여 관찰하였다. 또한 처리조건에 따른 표면에너지 변화를 확인하기 위해 물접촉각 변화를 측정하였다. PMMA는 입사에너지, 노출시간이 증가함에 따라 쉽게 나노기둥구조가 형성되었으나, 과도한 입사에너지 또는 노출시간에서는 표면구조가 에칭되면서 무너지는 것이 관찰되었다. 또한 PDMS는 동일한 조건에서 주름구조 형태를 보였으며 노출시간이 증가할수록 주름구조의 간격이 늘어남을 확인하였다. 본 연구 결과를 통해 나노 구조를 쉽게 제어할 수 있는 PMMA가 피부 부착형 접착 패치에 응용이 가능할 것으로 기대된다.
-
We present bulk diffusion rates of hydroxide ions in amorphous solid water (ASW) at 135 ~ 160 K. Previous researches showed that the diffusion mechanism of hydroxide is different from one of hydronium ions, and this implies that they have different diffusion rates. In ultra-high vacuum (UHV) chamber, low-energy scattering (LES) was used to measure ion population and temperature-programmed desorption (TPD) was conducted for measuring ASW thicknesses. To determine the diffusion rates, a simple model for
$H_2O/NaOH/H_2O$ sandwich films was developed using Fick's second law. The measured surface population of hydroxide ions as a function of time was well fitted to the model, and the rates were well agreed to an Arrhenius equation. -
The metal intercalation to an organic semiconductor is of importance since the charge transfer between a metal and an organic semiconductor can induce the highly enhanced conductivity for achieving efficient organic electronic devices. In this regard, the changes of the electronic structure of copper phthalocyanine (CuPc) caused by the intercalation of potassium are studied by ultraviolet photoemission spectroscopy (UPS) and density functional theory (DFT) calculations. Potassium intercalation leads to the appearance of an intercalation-induced peak between the highest molecular occupied orbital (HOMO) and the lowest molecular unoccupied orbital (LUMO) in the valence-band spectra obtained using UPS. The DFT calculations show that the new gap state is attributed to filling the LUMO+1, unlike a common belief of filling the LUMO. However, the LUMO+1 is not conductive because the
${\pi}$ -conjugated macrocyclic isoindole rings on the molecule do not make a contribution to the LUMO+1. This is the origin of a metal-insulator transition through heavily potassium doped CuPc. -
Ge is a promising candidate to replace Si in MOSFET because of its superior carrier mobility, particular that of the hole. However Ge oxide is thermodynamically unstable. At elevated temperature, GeO is formed at the interface of Ge and GeO2, and its formation increases the interface defect density, degrading its device performance. In search for a method to surmount the problem, we investigated Ge oxidation through an inert capped oxide layer. For this work, we prepared low doped n-type Ge(100) wafer by removing native oxide and depositing a capping layer, and show that GeO2 interface can be successfully grown through the capping layer by thermal oxidation in a furnace. The thickness and quality of thus grown GeO2 interface was examined by ellipsometry, XPS, and AFM, along with I-V and C-V measurements performed at 100K to 300K. We will present the result of our investigation, and provide the discussion on the oxide growth rate, interface state density and electrical characteristics in comparison with other studies using the direct oxidation method.
-
The influence of electric field on CO adsorbed on Pt(111) was investigated with reflection-absorption infrared spectroscopy (RAIRS) in ultrahigh vacuum system. The ice film capacitor method was used to apply electric field to the amorphous ice film with CO on Pt(111). Two systems were compared by measuring the change of the CO stretching vibrational mode under applied electric field; one is CO on Pt(111), and the other is CO buried inside an ice film on Pt(111). By comparing them, we were able to calculate the additional effect of adsorption of CO on Pt(111) on peak shift. The CO adsorbed on Pt(111) has shown larger peak shift than CO adsorbed with H2O when we applied stronger electric field. Additionally, the differences were observable when the applied electric field exceeds
$1{\times}10V/m^8$ . -
We fabricate organic single crystal nanowire heterojunction p-n diode poly(3-hexylthiophene)(P3HT) and from Phenyl-C61-butyric acid methyl ester(PCBM) using by liquid-bridge mediated nanotransfer molding(LB-nTM) method. LB-nTM has been reported an one step direct printing method for making well-aligned nanowire arrays. Moreover, multi-patterning nanostructures can be fabricated with the consecutive printing process. As a result, it is possible to make simple and basic concept of heterojunction devices such as lateral organic p-n nanojunction diode. P3HT/PCBM nanowires heterojunction diode has rectifying behavior with on/off ratios of ~20.
-
Lee, Woo Young;Ryu, Ho Jun;Jang, Young Jun;Kim, Gi Taek;Deng, Xingrui;Umehara, Noritsugu;Kim, Jong Kuk 144.2
Diamond-like carbon (DLC) coatings have been widely applied to the mechanical components, cutting tools due to properties of high hardness and wear resistance. Among them, hydrogenated amorphous carbon (a-C:H) coatings are well-known for their low friction properties, stable production of thin and thick film, they were reported to be easily worn away under high temperature. Non-hydrogenated tetrahedral amorphous carbon (ta-C) is an ideal for industrial applicability due to good thermal stability from high$sp^3$ -bonding fraction ranging from 70 to 80 %. However, the large compressive stress of ta-C coating limits to apply thick ta-C coating. In this study, the thick ta-C coating was deposited onto Inconel alloy disk by the FCVA technique. The thickness of the ta-C coating was about$3.5{\mu}m$ . The tribological behaviors of ta-C coated disks sliding against$Si_3N_4$ balls were examined under elevated temperature divided into 23, 100, 200 and$300^{\circ}C$ . The range of temperature was setting up until peel off observed. The experimental results showed that the friction coefficient was decreased from 0.14 to 0.05 with increasing temperature up to$200^{\circ}C$ . At$300^{\circ}C$ , the friction coefficient was dramatically increased over 5,000 cycles and then delaminated. These phenomenon was summarized two kinds of reasons: (1) Thermal degradation and (2) graphitization of ta-C coating. At first, the reason of thermal degradation was demonstrated by wear rate calculation. The wear rate of ta-C coatings showed an increasing trend with elevated temperature. For investigation of relationship between hardness and graphitization, thick ta-C coatings(2, 3 and$5{\mu}m$ ) were additionally deposited. As the thickness of ta-C coating was increased, hardness decreased from 58 to 49 GPa, which means that graphitization was accelerated. Therefore, now we are trying to increase$sp^3$ fraction of ta-C coating and control the coating parameters for thermal stability of thick ta-C at high temperatures. -
Binding and unbinding between molecular oxygen and metallo-porphyrin is a key process for oxygen delivery in respiration. It can be also used to control spin state of magnetic metallo-porphyrin molecules. Controlling and sensing spin states of magnetic molecules in such reactions at the single molecule level is essential for spintronic molecular device applications. Here, we demonstrate that spin states of metallo-porphyrin on surfaces can be controlled over by binding and unbinding of oxygen molecule, and be sensed using scanning tunneling microscopy and spectroscopy. Kondo localized state of metallo-porphyrin showed significant modification by the binding of oxygen molecule, implying that the spin state was changed. Our density functional theory calculation results explain the observations with the hybridization of unpaired spins in d and
${\pi}^*$ orbitals of metallo-porphyrin and oxygen, respectively. Our study opens up ways to control molecular spin state and Kondo effect by means of molecular binding and unbinding reactions on surfaces. -
We report the phase transformation of Co thin films on a sapphire substrate induced by laser irradiation. As grown Co films were initially strained and tetragonally distorted. With low power laser irradiation, the surface was ruptured and irregular holes were formed. As the laser power was increased, the films changed into round shape Co nanocrystals with well-defined 6-fold structure. By measuring the XRD of Co nanostructure as a function of laser energy densities, we found that the change of morphological shapes from films to nanocrystals was accompanied with decrease of the tetragonal distortion as well as strain relaxation. By measuring the size distribution of nanocrystals as a function of film thickness, the average diameter is proportional to 1.7 power of the film thickness which was consistent with the prediction of thin film hydrodynamic (TFT) dwetting theory. Finally, we fabricated the formation of size controlling nanocrystals on the sapphire substrate without strain.
-
Jang, Jinsub;Woo, Sungmin;Ban, Wonjin;Nam, Jaehyun;Lee, Yeji;Choi, Woo Seok;Jung, Donggeun 147.1
Hydrophobic thin films are variously applicable for encapsulation of organic devices and water repulsive glass, etc. In this work, the stability of hydrophobic characteristics of plasma polymerized tetrakis (trimethylsilyloxy) silane (ppTTMSS) thin films were investigated. The films were deposited with plasma enhanced chemical vapor deposition (PECVD) on the glass. The deposition plasma power and deposition pressure was 70 W and 600 mTorr, respectively. Thereafter, deposited films were treated by 248nm KrF excimer laser. Stability of hydrophobic properties of plasma polymerized tetrakis(trimethylsilyloxy)silane film surface was tested by excimer laser irradiation, which is thought to simulate severe outdoor conditions. Excimer laser irradiation cycles changed from 10 to 200 cycles. The chemical structure and hydrophobicity of ppTTMSS films were analyzed by using Fourier transform infrared (FTIR) spectroscopy and water contact angle (WCA) measurement, respectively. Absorption spectra peaks and WCA of excimer laser treated ppTTMSS films did not change notably. These results show that our ppTTMSS films possess stable hydrophobic properties. -
Light management technology is very important for thin film solar cells, which can reduce optical reflection from the surface of thin film solar cells or enhance optical path, increasing the absorption of the incident solar light. Using proper light trapping structures in hydrogenated amorphous silicon (a-Si:H) solar cells, the thickness of absorber layers can be reduced. Instead, the internal electric field in the absorber can be strengthened, which helps to collect photon generated carriers very effectively and to reduce light-induced loss under long-term light exposure. In this work, we introduced a chemical etching technology to make honey-comb textures on glass substrates and analyzed the optical properties for the textured surface such as transmission, reflection and scattering effects. Using ray optics and finite difference time domain method (FDTD) we represented the behaviors of light waves near the etched surfaces of the glass substrates and discussed to obtain haze parameters for the different honey-comb structures. The simulation results showed that high haze values were maintained up to the long wavelength range over 700 nm, and with the proper design of the honey-comb structure, reflection or transmission of the glass substrates can be enhanced, which will be very useful for the multi-junction (tandem or triple junction) thin film a-Si:H solar cells.
-
Complex oxide heterointerfaces have been extensively explored in the past due to the novel phenomenon emerging at such interfaces that differ from their individual bulk counterparts. The integration of a ferromagnetic (FM) material with the superconducting (SC) material leading to proximity effect is one of the commonly studied phenomenon in these heterostructures. In continuation, we have stabilized the FM layer La0.7Ca0.3MnO3 (LCMO) on SC material YBa2Cu3O7-
${\delta}$ (YBCO) using pulsed laser deposition technique and explored the structural, magnetic, electrical and magneto-transport properties of this heterostructure.${\Phi}$ -scan measurements confirm the epitaxial nature of LCMO/YBCO heterostructure grown on single crystalline SrTiO3 substrate. The FM transition of LCMO and SC transition of YBCO are observed in the magnetization measurements of the bilayer structure. Through electrical measurements, we understood that the proximity effect leads to lowering of the SC transition of YBCO. The role of interface in the bilayer structure is also realized through electrical transport measurements. -
We characterized the n-type organic field-effect transistors (OFETs) with non-conjugated polyelectrolytes (NPEs) interlayers as the electron injection layer. Novel NPEs with various ions (Cl-, Br-, I-) improved the electron mobility from
$5.06{\times}10^{-3}$ to$2.10{\times}10^{-2}cm^2V^{-1}s^{-1}$ in OFETs based [6,6]-Phenyl-$C_{61}$ -butyric acid methyl ester (PCBM) when$PEIEH^+I^-$ spin-cast from 0.6% solution was deposited onto the PCBM layer. Reduced electron injection barrier (${\phi}_e$ ) at NPE/metal electrode interface was induced by dipole formation and led to increase the electron injection and transport. These findings are important for understanding how NPEs function in devices, the improvement of device performance, and the design of new materials for use in optoelectronic devices. -
ITER 내부 플라즈마 진단에 대한 연구는 활발히 이루어지고 있다. 그 중에서도 광학 시스템을 이용한 진단방법으로 OES, Bolometer, Stark effects, Thomson scattering이 주로 연구되고 있다. 이러한 방법을 구현하기 위해서는 핵융합로 내부에 first mirror 설치가 필수적이다. 그러나 노 내부에서 발생한 플라즈마에 의한 부식과 증착 및 광 소스에 의한 first mirror 표면 손상이 현재 ITER 주요 난제중 하나로 꼽히고 있다. 이는 추후 건설될 DEMO와 핵융합로 건설을 위해서도 필요한 연구이다. 그러나 국내에서는 이러한 연구가 거의 진행되고 있지 않다. 이에 따라 Thomson scattering 진단계와 first mirror 관련 연구동향을 추적하였다. 그리고 이 추적한 결과를 바탕으로 감마선환경에서 first mirror의 특성을 분석했다. 또한 오염 제거 및 방지를 위하여 TE(thermos-electric) 시스템을 제작하고 있다. 그리고 high energy neutral beam에 대한 플라즈마를 이용한 오염방지 실험을 진행하고 있다.
-
In this work, we introduce a solution-processed CdS interlayer for use in inverted bulk heterojunction (BHJ) solar cells, and compare this material to a series of standard organic and inorganic cathode interlayers. Different combinations of solution-processed CdS, ZnO and conjugated polyelectrolyte (CPE) layers were compared as cathode interlayers on ITO substrates to construct inverted solar cells based on
$PTB7:PC_{71}BM$ and a$P3HT:PC_{61}BM$ as photoactive layers. Introduction of a CdS interlayer significantly improved the power conversion efficiency (PCE) of inverted$PTB7:PC_{71}BM$ devices from 2.0% to 4.9%, however, this efficiency was still fairly low compared to benchmark ZnO or CPE interlayers due to a low open circuit voltage ($V_{OC}$ ), stemming from the deep conduction band energy of CdS. The$V_{OC}$ was greatly improved by introducing an interfacial dipole (CPE) layer on top of the CdS layer, yielding outstanding diode characteristics and a PCE of 6.8%. The best performing interlayer, however, was a single CPE layer alone, which yielded a$V_{OC}$ of 0.727 V, a FF of 63.2%, and a PCE of 7.89%. Using$P3HT:PC_{61}BM$ as an active layer, similar trends were observed. Solar cells without the cathode interlayer yielded a PCE of 0.46% with a poor$V_{OC}$ of 0.197 V and FF of 34.3%. In contrast, the use of hybrid ZnO/CPE layer as the cathode interlayer considerably improved the$V_{OC}$ of 0.599 V and FF of 53.3%, resulting the PCE of 2.99%. Our results indicate that the CdS layer yields excellent diode characteristics, however, performs slightly worse than benchmark ZnO and CPE layers in solar cell devices due to parasitic absorption below 550 nm. These results suggest that the hybrid inorganic/organic interlayer materials are promising candidates as cathode interlayers for high efficiency inverted solar cells through the modification of interface contacts. -
Nickel sulfide (NiS) is one of the most promising candidates as an electrode material for supercapacitors due to its good capacitive properties, high electrical conductivity and low cost. In addition to the development of the new electrode materials, nanostructuring the electrode surface is one of the main issues in enhancing the capacitive performance of the supercapacitors because the increased surface area can improve the charge transfer and energy storage processes occurring at the electrode surface. However, most nanofabrication techniques require complicated and delicate nanoprocesses, and hence are not suitable for practical use. In this work, we developed a simple method to fabricate nanostructured NiS electrodes by depositing NiS onto
$TiO_2$ nanoparticles. First,$TiO_2$ nanoparticles were spin-coated on a fluorine-doped tin oxide (FTO) substrate, and then NiS layers were deposited onto the$TiO_2$ nanoparticles by consecutive dip-coatings in the solutions containing nickel and sulfur precursors. This nanostructured NiS electrode showed significantly improved capacitive properties compared to the electrode of NiS films deposited without$TiO_2$ nanoparticles. The asymmetric full-cell supercapacitor with this nanostructured NiS electrode and activated carbon electrode was also fabricated and investigated. -
The variation of the surface structure of the Al adsorbed W(110) planes according to the coverage and the substrate temperature has been investigated using LEED and ISS When the Al atoms were adsorbed on the W(110) surface at room temperature, a p(
$1{\times}1$ ) of the fcc (111) face were found at the coverage higher than 4 ML. When the substrate temperature was kept at 900 K during Al adsorption and the coverage was 1.0 ML, the surface revealed a p($1{\times}1$ ) of the bcc(110) face and when the coverage is 1.5 ML, the surface showed a p($1{\times}1$ ) of the bcc (110) face together with a p($1{\times}1$ ) double domain structure (fcc (111) face) rotated${\pm}3^{\circ}$ from the [100] direction of the W(110) surface. When Al atoms were adsorbed on the W(110) surface at the substrate temperature of 1000 K and the coverage was higher than 1.0 ML, the surface revealed a p($1{\times}1$ ) of the bcc(110) face together with p($1{\times}1$ ) double domain structure(fcc(111) face) rotated${\pm}3^{\circ}{\sim}5^{\circ}$ from the [100] direction of the W(110) surface. When Al atoms were adsorbed on the W(110) surface at the substrate temperature of 1100 K and the coverage was 0.5 ML, Al atoms formed a p($2{\times}1$ ) double domain structure When the coverage was 1.0 ML, the double domain hexagonal structure (fcc(111) face) rotated${\pm}5^{\circ}$ from the [100] direction of the W(110) surface and another distorted hexagonal structure was found. Low-energy electron diffraction results along with ion scattering spectroscopy results showed that the Al atoms followed the Volmer-Weber growth mode at high temperature. -
In this work, we experimentally investigated the solar absorption performance of Cu-based scalable nanostructured surfaces and compared their performance with the conventional TiNOX. We fabricated Cu-based nanostructured surfaces with a controlled chemical oxidation process applicable to a large area or complex geometry. We optimized the process parameters including the chemical compounds, dipping time and process temperature. We conducted both lab-scale and outdoor experiments to characterize the conversion efficiency of each absorber surfaces with single and double glazing setup. Lab-scale experiment was conducted with
$50mm{\times}50mm$ absorber sample with 1-sun condition (1kW/m2) using a solar simulator (PEC-L01) with measuring the temperature at the absorber plate, cover glass, air gap and ambient. From the lab-scale experiment, we obtained${\sim}91^{\circ}C$ and$94^{\circ}C$ for CuO and TiNOX surfaces after 1 hr of solar illumination at single glazing, respectively. To measure the absorber performance at actual operating condition, outdoor experiment was also conducted using$110mm{\times}110mm$ absorber sample. We measured the solar flux with thermopile detector (919P-040-50). From outdoor experiment, we observed${\sim}123^{\circ}C$ and$131^{\circ}C$ for CuO and TiNOX with 0.6 kW/m2 insolation at double glazing, respectively. We showed that the suggested nanostructured CuO solar absorber has near-equivalent collection efficiency compared with the state-of-the-art TiNOX surfaces even with much simpler manufacturing process that does not require an expensive equipment. -
Water repellent surfaces may enhance the condensation by efficiently removing the condensed droplets. However, such surfaces may lose their original performance as they are exposed to external mechanical stresses. In this work, we fabricated spray-coated mechanically robust superhydrophobic surfaces using treated titanium dioxide (Type 1) or silica particles (Type 2). Then we compared the mechanical robustness of such surfaces with the silane-coated superhydrophobic surface and PEEK coated surface using a controlled-sand blasting method. The results show that the spray-coated samples can maintain the same level of the contact angle hysteresis than silane-coated superhydorphobic surface after sand blasting at 2 bar. The spray-coating method was applied to the tube type condenser and the condensation behaviors were observed within the environmental chamber with controlled pressure, humidity and non-condensable gas. Previously-reported droplet jumping was observed in the early stage of the condensation event, but soon the droplet jumping stopped and only dropwise condensation was observed since the condensed droplets were pinned on the cracks at spray-coated surfaces. The static contact angle decreases from
$158.0^{\circ}$ to$133.2^{\circ}$ , and hysteresis increases from$3.0^{\circ}$ to$23.5^{\circ}$ when active condensation occurs on such surfaces. This work suggests the benefits and limitation of spray-coated superhydrophobic condensers and help develop advanced condensers for practical use. -
Oh, Donghyun;Jeon, Minhan;Kang, Jiwoon;Shim, Gyeongbae;Cho, Jaehyun;Park, Cheolmin;Kim, Hyunhoo;Yi, Junsin 161.1
박막 태양전지의 광 산란을 위한 텍스쳐 된 표면은 반사 손실을 감소시키기 위한 것이다. 그러나, 투명한 전극(TCO)의 텍스쳐 된 표면은 빛의 가용성을 제한하고, 장파장 영역에서 haze의 수치를 감소시키며, 전반사의 증가는 박막 태양전지의 Jsc를 감소시킨다. 본 논문에서는 높은 빛의 가용성을 위하여 HF+HCl 혼합용액을 이용하여 표면의 질을 향상시키기 위한 해결책을 제시했다. 같은 HF+HCl 혼합용액을 사용하여, 540 nm의 파장에서 약 85 %의 높은 haze 수치를 달성했으며, ZnO:Al 막의 증착 후에 식각된 유리 기판과 함께 비교했을 때, 2.3%의 haze 수치의 감소를 얻었다. 또, 깊은 습식 식각에 의하여 Haze 수치를 증가시키기 위한 메커니즘 간단히 설명했다. 텍스쳐 된 유리 기판의 haze 수치의 측면에서 광학 이득은 일반적인 Asahi FTO 유리(${\lambda}=540nm$ 의 13.5%)에 비해 상당히 높다. 이러한 높은 haze 수치의 AZO 박막은 박막 태양전지의 Jsc를 개선하는데 이용할 수 있다. -
고전적인 하이젠베그 형태의 교환상호작용에 따르면 인접한 스핀의 상태는 평행 또는 반평행 상태를 상태를 안정적으로 가진다. 최근 좀 더 일반적인 형태의 교환상호작용에 대한 연구가 이루어지고 있는데 대표적인 예로 좔로신스키-모리야 (Dzyaloshinskii-Moriya, DM) 교환상호작용이 있다. 이 현상은 인접한 스핀끼리 수직인 상태를 선호한다. 따라서 이 현상에 의해 공간상에 꼬여있는 스핀상태가 만들어지게 되는데 대표적인 예로 위상학적으로 안정된 스커미온이라는 스핀상태가 있다. DM은 크게 두가지 타입을 가지는데 하나는 bulk에서 유발되고 다른 하나는 계면에서 유발되는 것으로 알려져 있다. 이번 발표에서는 계면DM에 의해 형성되는 스커미온 자화상태를 이용한 본연구단의 최근 연구결과들을 소개하려고 한다. 우선 교류자기장을 이용하여 물질 내의 스핀상태를 한쪽 방향으로 이동 시키는 방법과 이를 응용한 메모리 소자의 구현을 설명하고 향후 발전 방향에 대한 최근 연구결과를 소개한다.
-
Lead halide perovskites CH3NH3PbX3 (X=Cl, Br, I) have received great interest in the past few years because of their excellent photoelectronic properties as well as their low-cost solution process. Their theoretical efficiency limit of the solar cell devices was predicted around 31% by a detailed balance model for the reason that exceptional light-harvesting and superior carrier transport properties. Additionally, these excellent properties contribute to the applications of optoelectronic devices such as LASERs, LEDs, and photodetectors. Since these devices are mainly using perovskite thin film, one of the most important factor to decide the efficiency of these applications is the quality of the film. Even though, optoelectrical devices are composed of polycrystalline thin film in general, not a single crystalline form which has longer carrier diffusion length and lower trap density. For these reasons, monodomain perovskite thin films have potential to elicit an optimized device efficiency. In this study, we analyzed the crystallography of the in-plane aligned perovskite thin film by X-ray diffraction (XRD) and selected area electron diffraction (SAED). Also the basic optic properties of perovskites were checked using scanning electron microscopy (SEM) and UV-Vis spectrum. From this work, the perovskite which is aligned in all directions both of out-of-plane and in-plane was fabricated and analyzed.
-
타이타늄과 탄소의 비율이 서로 다른 조건에서, 탄소가 도입된 산화타이타늄 (TiO2)을 수열합성법을 이용하여 합성하였다. TEM 이미지를 통하여 일정한 형태의 산화타이타늄이 합성된 것과, XRD 패턴 분석을 통하여 Anatase 형태임을 확인하였다. 본 연구에서는 탄소가 도입된 산화타이타늄을 이종상촉매로 사용하여 일차 및 이차 알코올 산화반응과 메틸렌 블루 분해 실험에 응용하였다.
-
본 연구에서 지지체로 사용된 단결정 형태의 수산화인회석(Hydroxyapatite)은 칼슘과 인산염으로 구성된 무기물이다. 염기 조건 (10 M NaOH)에서 수열합성법 (Hydrothermal method)을 이용하여 단결정 형태의 수산화인회석을 합성하였다. 합성된 단결정 수산화인회석의 표면에 이온 교환 반응을 통하여 은 원자를 도입하였으며, 아르곤 및 수소 기류 (96% Ar, 4%
$H_2$ ) 조건에서 가열하는 온도를 조절하는 것으로 은 나노 입자를 생성시켰다. 합성된 샘플은 XRD 패턴 및 TEM 이미지 분석을 통하여, 수산화인회석의 합성 및 은 나노 입자가 형성된 것을 확인하였다. 합성된 샘플을 유기 반응 촉매로 사용하여 여러 가지 알코올 (1차, 2차 알코올)의 산화 반응에 응용하였으며, UV-Visble light 영역에서의 메틸렌 블루 분해 반응 실험에 광촉매로서 사용하였다. -
수산화인회석(Hydroxyapatite)는 뼈와 이빨의 무기물의 주성분으로서 칼슘과 인산염으로 구성된다. 본 연구에서는 특정 농도의 염기조건 (10 M NaOH)에서 수열합성법 (hydrothermal method)을 이용하여 수산 화인회석을 합성하였다. 합성된 샘플은 XRD 패턴 및 TEM 이미지 분석을 통하여 단결정성과 일정한 형태를 지닌 수산화인회석이 합성된 것을 확인하였다. 수산화인회석의 표면에 루테늄을 도입하기 위하여 이온교환 반응 과 열처리 과정을 이용하였다. 도입된 루테늄 나노 입자는 TEM 이미지 분석을 통하여 확인하였으며, 일차알콜과 이차알콜등의 유기 산화반응에 촉매로서 사용하였다.
-
Zn의 수요는 매년 증가하지만 매장량의 한계로 대체용 물질계가 개발이 필요한 시점이다. Zn보다 상대적으로 풍부하고 동일 두께의 Zn 코팅층과 비교하여 우수한 내식성을 보이는 Al과 Mg의 코팅층을 제작하여 Al-Mg 코팅 강판의 특성 분석 및 평가를 실시하였다. Al-Mg 코팅층은 99.99%의 Al, 99.9%의 Mg target을 사용하여 스퍼터링을 이용하여 냉연강판 위에 코팅하였다. 증발물질과 기판과의 거리는 7cm 이며, 기판은 세척을 실시한 후 클리닝 챔버에 장착하고 ~10-5 Torr 까지 진공배기를 실시하였다. 클리닝 챔버가 기본 압력까지 배기되면 아르곤 가스를 주입하고 기판 홀더에 -800 V의 직류 전압을 인가하여 약 30분간 글로우 방전 청정을 실시하였다. 기판의 청정이 끝나면 아르곤 가스를 차단하고 코팅 챔버로 시편을 이송 후 코팅층 성분의 구성형태에 따라 Al과 Mg을 코팅하였다. Al-Mg 코팅층은
$3{\mu}m$ 의 두께를 기준으로 Mg wt.%의 비율을 5% ~ 90%까지 변화시키며 코팅하였다. 그리고 후속 공정으로 질소 분위기$400^{\circ}C$ 에서 10분간 열처리를 하였다. Al-Mg 코팅층을 주사전자현미경으로 관찰한 결과, 표면에서는 Al-Mg 코팅층에 존재하는 Mg 함량이 높아질수록 grain의 크기가 증가하였고 단면에서는 열처리 전의 치밀한 구조에서 열처리 후에는 주상구조 혹은 grain 구조가 선명해지는 것을 볼 수 있었으며 글로우방전분광기로 Al과 Mg의 성분 비율변화를 확인할 수 있었다. 또한 Al-Mg가 코팅된 강판을 염수분무시험을 통해서 내부식 특성을 확인하였다. Al-Mg 코팅 강판의 염수분무시험 결과, Mg 함량이 낮은 Al-Mg 코팅층은 열처리 후 뚜렷한 내식성 향상을 보였으며 Mg 조성 변화에 따라 일정한 경향성을 보였다. 하지만 Mg 함량이 높은 Al-Mg 코팅층은 열처리 후 급격한 내식성 저하와 함께 시편간의 편자가 커지는 것을 확인 할 수 있었다. 최적의 내식성을 보이는 Mg의 조성을 확인하기 위해서는 향후 보다 변별력이 높은 평가가 결과가 필요하다고 판단되어진다. -
빗각 증착이란 입사 증기가 기판에 수직하게 입사하는 일반적인 공정과는 다르게 증기가 기판의 수직선과
$0^{\circ}$ 이상의 각을 갖는 증착 방법을 의미한다. 본 연구는 공정 압력이 비교적 높은 스퍼터링 공정에서 빗각 증착을 실시하여 코팅층의 구조제어가 가능한지를 확인하였다. 본 연구에서는 조직의 치밀도 향상을 통한 특성 향상을 위해 TiN 박막을 제조함에 있어서 빗각 증착 기술을 응용하여 단층 및 다층 피막을 제조하고 그 특성을 비교하였다. 스퍼터 소스에 장착된 타겟의 크기는 6"이며, 99.5% Ti 타겟을 사용하였고, Ar 가스 분위기에서 기판으로 사용된 Si(100) 위에 코팅하였다. 기판과 타겟 간의 거리는 10 cm이며, 기판은 알코올과 아세톤으로 초음파 세척을 실시한 후 진공챔버에 장착하고 <$2.0{\times}10-5Torr$ 까지 진공배기를 실시하였다. 진공챔버가 기본 압력까지 배기되면 Ar 가스를 주입한 후 RF 파워에 약 300V의 전압을 인가하여 글로우 방전을 발생시키고 약 30분간 청정을 실시하였다. 기판의 청정이 끝난 후 다시 <$2.0{\times}10-5Torr$ 까지 진공배기를 한 후 Ar 가스를 주입하여 TiN 코팅을 실시하였다. 빗각 증착을 위한 기판의 회전각은$70^{\circ}$ ,$80^{\circ}$ 와$-70^{\circ}$ ,$-80^{\circ}$ 이며, TiN 박막의 총 두께는 약$3.5{\sim}4{\mu}m$ 로 유지하였다. 스퍼터링을 이용한 TiN 박막의 빗각 증착 코팅을 실시하였으며, 공정조건에 따라 주상정이 자라는 모습과 기울어진 각도가 다른 구조를 갖는 박막이 제조되는 것을 확인할 수 있었다. 빗각증착을 실시하는 중에 기판 홀더에 약 -100 V의 전압을 인가하면 인가하지 않은 막에 비해 치밀한 박막이 성장한다는 사실을 확인하였다. 박막의 성능향상을 위하여 스퍼터 시스템에서 빗각 증착을 이용한 TiN 박막 형성을 실시하였다. SEM 단면 이미지에서 확인해본 결과 주상정이 자라는 형상이 공정 압력이 5 mTorr에서 2 mTorr로 낮아짐에 따라 상대적으로 치밀하면서 일정한 형태로 성장하는 것을 확인하였다. 본 연구를 통해 스퍼터링을 이용한 빗각 증착의 Structure Engineering 이 가능함을 확인하였으며 박막의 성능을 향상시키는 기술로서 응용 가능할 것으로 보인다. -
각종 부품의 내마모성 및 내식성을 개선하기 위해서 금속물질에 나노두께의 보호막층을 입혀 경도를 높이는 표면처리 기술이 개발되고 있다. TiN막은 기계적 경도, 내마모성 및 내식성이 우수하여 수없이 연구되어 왔으며 박막의 두께에 따라 다양한 색상표현이 가능하다는 연구도 진행되고 있다. 이러한 TiN 박막의 연구결과로 높은 경도와 강도를 요하는 절삭공구에 하드 코팅을 이용하여 높은 절삭력으로 고효율적인 작업환경을 조성할 수 있다. 기존에 연구되어 온 TiN박막은 Ar과 N2의 혼합가스 분위기에서 증착된 반면 본 실험에서는 영구자석을 이용한 고밀도 플라즈마로 높은 점착성과 균일한 박막 및 대면적 공정이 가능한 RF-magnetron sputtering방법을 이용하여 N2 분위기에서 TiN박막을
$100^{\circ}C{\sim}400^{\circ}C$ 의 온도범위에서$100^{\circ}C$ 간격으로 열처리 후 증착하여 비교실험을 하였다. 이와 같이 제작된 TiN박막을 XRD(X-ray Diffraction)를 사용하여 결정성을 확인한 결과 온도가 높을수록 (111)방향의 결정성장이 뚜렷하게 나타났으며 그 외 Scratch Test와 HM-220(Micro-vicker's tester)를 사용하여 경도특성을 확인하고 SEM(Scanning Electron Microscope), AFM(Atomic Force Microscope)를 이용하여 박막의 표면형상을 측정하였다. 이러한 측정 결과로 향후에는 높은 내마모성 및 초경도가 요구되는 절삭공구 및 경질표면코팅이 필요한 금속산업분야에 적용이 가능 할 것이라 사료된다. -
최근 생산 장비의 발달로 인해 절삭공구, 전기전자 부품, 항공 및 자동차 부품 생산에 필요한 생산 장비의 수명연장, 고속 절단 및 고성능화가 중요시 되면서 우수한 내구성, 내마모성 및 고온 안정성을 갖는 기계부품 및 공구를 요구하게 되었다. 내마모성을 가지는 표면을 얻기 위해서는 TiN, TiC, AlN, Al2O3, CrN, ZrO2와 같은 경도 높은 물질을 증착하여 특성을 개선시키는 방법이 있다. 특히 AlN은 비교적 우수한 경도와 고온 안정성을 가지고 있어, 생산 장비의 고속 절단 및 반복되는 정밀 작업으로 인한 열충격과 마모를 완화시키는 역할을 하는 코팅재로 사용하기 적합하다. 본 실험에서는 RF-magnetron sputtering 방법을 이용하여 AlN 박막을 파워 150W, 질소가스 분압비에 따라 25%, 50%, 75%, 100%의 조건으로 금속기판 위에 증착하였다. 금속 기판 위에 제작된 AlN막은 XRD (X-ray Diffraction)을 사용하여 배향성을 확인하였고, HM-220 (Micro-vickers hardness tester)을 사용하여 AlN박막의 경도를 측정하였으며, SEM (Scanning Electron Microscope), AFM (Atomic Force Microscope)을 이용하여 표면의 구조와 거칠기를 측정하였다. 이 실험을 통하여 우수한 물성과, 치밀한 조직의 AlN박막이 고속 절삭 공구, 유공압 실린더, 베어링과 같은 금속부품의 코팅소재로 적용가능 할 것으로 기대된다.
-
The interaction between adsorbed water and hydrogen on metallic surfaces is important for fundamental understanding of heterogeneous catalysis and electrode surface reactions in acidic environment. Here, we explore a long-standing question of whether hydronium ion can exist or not on a Pt surface coadsorbed with atomic hydrogen and water. Studies based on mass spectrometry and infrared spectroscopy show clear evidence that hydrogen atoms are converted into hydrated protons on a Pt(111) surface. The preferential structures of hydrated protons are identified as multiply hydrated
$H_5O_2{^+}$ and$H_7O_3{^+}$ species rather than as hydronium ions. The multiply hydrated protons may be regarded as two dimensional zundel ($H_5O_2{^+}$ ) and Eigen cation ($H_7O_3{^+}$ ) in water-metal interface. These surface-bound hydrated protons may be key surface intermediates of the electrochemical interconversion between adsorbed hydrogen atoms and solvated protons. -
태양에너지는 전자기파로써 파장에 따라 여러 부분으로 나뉜다. 파장이 780nm 이상인 부분의 영역을 IR (infra Red) 즉 적외선으로 불린다. 이 부분이 열선에 해당하는 부분인데 대부분의 창문에 설치된 커튼이나 vertical 등은 빛을 차단하는 수단일 뿐, 유입되는 열 (적외선)을 차단시킬 수는 없다. 열은 결국 실내의 온도를 높이기 때문에 난방비 등 에너지 손실을 막긴 어렵다. 단열필름은 커튼이나 vertical과 달리 실내로 유입되는 열 (적외선)을 다시 실외로 반사시켜 실내로 유입되는 열을 최소화 한다. 본 연구는 IR 차폐를 목적으로 연구 되었고, 거기서 한 발 나아가 실내 분위기 등을 고려하여 소비자의 구호에 맞는 제품에 더 맞추기 위해 연구되었다. 기존 제품들과 차별된 점은 색상을 위한 추가적인 작업없이 굴절률과 두께 factor 등을 변화시켜 바로 7가지 색을 구현한다는 장점을 가지고 있다. 따라서 가격 경쟁력에서도 우위를 점 할 수 있는 중요한 기술이라 할 수 있겠다. 박막제조 장비로는 DC pulse In-line Sputter를 사용하였으며, 굴절률과 광학적인 두께 측정을 위한 ellipsometer, 그리고 UV-vis 를 통한 Transmittance 측정으로 제품 능력을 확인하였다.
-
Dugerjav, Otgonbayar;Duvjir, Ganbat;Kim, Jinsu;Lee, Hyun-Seong;Park, Minkyu;Kim, Yong-Sung;Jung, Myung-Wha;Phark, Soo-hyon;Hwang, Chanyong 170.1
$Bi_2Te_3$ has long been studied for its excellent thermoelectric characteristics. Recently, this material has been known as a topological insulator (TI). The surface states within the bulk band gap of a TI, which are protected by the time reversal symmetry, contribute to the conduction at the surface, while the bulk is in insulating state. In contrast to the bulk defects tuning the chemical potential to the Dirac energy, the native defects near the surface are expected not to change the shape of the Fermi surface and the related spin structure. Using scanning tunneling microscopy (STM), we have systematically characterized surface or near surface defects in p- and n- doped$Bi_2Te_3$ , and identified their structure by first principles calculations. In addition, bias-polarity dependences of STM images revealed the electron donor/acceptor nature of each defect. A detailed theoretical study of the surface states near the Dirac energy reveals the robustness of the Dirac point, which verifies the effectiveness of the disturbance on the backscattering from various kinds of defects. -
DLC(Diamond like Carbon)는 Diamond와 유사한 물리화학적 특성을 보유한 막으로 고경도 및 우수한 내마모성, 화학적 안정성의 특성을 가지고 있다. DLC는 크게 카본의 막 형성 공정에서 카본 소스에 따라 수소가 포함된 DLC와 무수소DLC로 구분된다. Tetrahedral amorphous carbon (ta-C) 박막은 DLC 박막 중에서 가장 다이아몬드와 유사한 특성을 가지는 박막으로, a:C-H에 비해 높은 열적안정성, 경도(50~60 GPa) 및 내마모 특성이 우수하여, 현재 다양한 응용분야에 적용하고 있다. 본 연구에서는 무수소 DLC 형성을 위해 자장필터가 장착된 Filtered Vacuum Arc Source(FVAS)를 자체적으로 개발하여 연구를 진행하였다. FVAS 장비는 카본 이온 발생부와 Plasma Duct 부위, 전자석부위 구성되어 있으며, 본 연구에서는 Plasma Duct 부위의 Bias 제어를 통해 음극에서 기판으로 이동하는 카본이온의 에너지와 flux 변화를 통한 박막 증착 거동 및 물성 연구를 진행하였다. Plasma Duct Bias 변화는 각 0, 5, 10, 15, 20 V 조건으로 진행하였으며, 물성 평가는 경도(Hardness), 마찰계수, 응력(Stress), 전기전도 특성에 대한 분석을 진행하였다. 박막의 증착 거동에서는 Plasma Duct bias 변화에 따라10 V에서 가장 높은 증착 거동을 가지다 감소하는 경향을 확인 하였으며, 박막의 물성 특성 평가 시에도 이와 유사하게 특성의 차이를 관찰하였다. 이는 음극부위에서 형성된 카본이온이 기판에 도달 시에 Plasma Duct Bias 변화에 따라 이온의 Flux 및 에너지 변화로 인해 박막의 밀도 및 ta-C 막의 물성 변화로 예상되며, 이를 분석하기 위해 라만분석 및 기판 도달 에너지 분석을 진행하였다
-
재료의 표면 강화 방법 중의 하나인 질화공정을 이용하여 탄소강 S45C 소재의 질화 거동에 대하여 연구하였다.
$520^{\circ}C$ 온도에서 질화 공정을 진행하여 공정시간에 따른 Kn값을 수소 센서로 측정하여 공정시간에 따른 N-potential의 변화와 그에 따른 화합물층 성장 및 화합물층의 상변화에 대해 관찰하였다. 화합물 층의 미세구조 변화는 광학현미경 및 주사전자현미경을 통해 관찰하였다. 가스 질화 처리 후 표면경도는 약 600Hv의 경도값이 측정되었고, 공정 시간이 늘어남에 따라 화합물층 및 경화깊이가 증가되고 표면 화합물이 성장하여 porous가 감소하는 것을 확인 할 수 있다. 경화깊이는 1440분 일 때 약 0.5mm 경화 깊이를 얻었고, 화합물층의 성장은${\varepsilon}$ 상(Fe2-3N)과${\gamma}$ '상(Fe4N)으로 두 개의 상으로 형성되는 것을 관찰할 수 있었다. 시험 결과를 바탕으로 S45C 소재의 탄소 함량에 따른 lehrer diagram을 열역학 적으로 계산하고 화합물층의 형성 기구에 대해 비교 분석하였다. -
Choi, Yoo-Jin;Park, Da-Hee;Kim, Eui-Hyun;Park, Chan-Rok;Kwon, Kyeong-Woo;Moon, Seon-Young;Baek, Seung-Hyub;Kim, Jin-Sang;Hwang, Jinha 171.2
The 2-dimensiona electron gas (2DEG) layers have opened tremendous interests in the heterooxide interfaces formed between two insulating materials, especially between LaAlO3 and$SrTiO_3$ . The 2DEG layers exhibit extremely high mobility and carrier concentrations along with metallic transport phenomena unlike the constituent oxide materials, i.e.,$LaAlO_3$ and$SrTiO_3$ . The current work inserted artificially the interfacial layer,$Sr_xCa_{1-x}TiO_3$ between$LaAlO_3$ and$SrTiO_3$ , with the aim to controlling the 2-dimensional transports. The insertion of the additional materials affect significantly their corresponding electrical transports. Such features have been probed using DC and AC-based characterizations. In particular, impedance spectroscopy was employed as an AC-based characterization tool. Frequency-dependent impedance spectroscopy have been widely applied to a number of electroceramic materials, such as varistors, MLCCs, solid electrolytes, etc. Impedance spectroscopy provides powerful information on the materials system: i) the simultaneous measurement of conductivity and dielectric constants, ii) systematic identification of electrical origins among bulk-, grain boundary-, and electrode-based responses, and iii) the numerical estimation on the uniformity of the electrical origins. Impedance spectroscopy was applied to the$LaAlO_3/Sr_xCa_{1-x}TiO_3/SrTiO_3$ system, in order to understand the 2-dimensional transports in terms of the interfacial design concepts. The 2-dimensional conduction behavior system is analyzed with special emphasis on the underlying mechanisms. Such approach is discussed towards rational optimization of the 2-dimensional nanoelectronic devices. -
In recent, tract infections such as atopic dermatitis, allergic rhinitis and a respiratory disease are increasing, giving rise to the atmospheric pollution, inflow of micro-size dust and side effect of humidifier disinfectant. In this context, the environment-friendly technology is required to eliminate airborne pathogens. We propose solution of the previous problems, making use of Radical Mist Generator (RMG). Existing technologies of air purification using a gas discharge produce harmful substances such as ozone, NOx, etc. However, the RMG uses a pure water as a plasma forming material. The RMG sprays the water mist, which contains reactive radicals to sterilize microorganisms. RMG is comprised of a power supply, plasma electrodes and a nozzle. In order to analyze the electrical characteristic and concentrations of reactive radicals, we employ an oscilloscope and a titration method. To test the sterilization effect of RMG, we used E.coli. We confirmed that E.coli was killed over 90%. Eventually, we expect that RMG can be promising tool for a purified system.
-
외부에서 운용되는 태양광 시스템에 있어서 셀 보호를 위해 사용되는 보호용 유리는 다양한 기후 변화를 겪으며 직면 하게 되는 먼지, 비 그리고 바람으로 부터 그 시스템을 보호하는데 필수적이다. 그러나 유리 자체의 제한된 투과도는 태양으로 부터의 에너지 전달에 있어서 일부 손실을 야기 한다. 많은 연구자들은 유리의 투과도를 향상시킴으로써 주어진 태양광 발전 시스템의 효율을 높이고자 노력 하였다. 한 예로써 패터닝 및 화학 공정을 통해 특정 크기의 표면 구조를 형성 하게 되면 유리의 투과도가 향상됨으로써 태양광 효율을 높이는 방법이 제시 된 바 있다. 본 연구에서는 기존의 고비용 장시간을 요하는 공정 대신 1분 이내의 단 시간에 He/CH4/C4F8 대기압 플라즈마를 이용한 공정의 최적화를 통하여 유리 표면에 마이크로 나노 구조 형성 및 표면 장력 에너지를 낮추어 투과도 향상은 물론 자가 세정 기능을 더함으로써 태양광 시스템의 장기적인 외부 운용 효율을 약 0.3% 가량 높일 수 있었다. 또한 표면 처리에 사용한 대기압 플라즈마의 optical emission spectroscopy 를 통하여 공정의 최적화 과정에서의 반응 가스 온도, 전자 여기 온도 그리고 라디칼 등의 생성 반응 기작을 연구 하였다.
-
플렉서블 디스플레이 및 태양전지가 지향하고 있는 저가, 고속의 대량 생산을 위해서는 필름을 기반으로 하는 연속 공정에 의한 대량의 ITO 박막의 증착이 필수적이다. 이로 인해 롤투롤(roll-to-roll) 스퍼터링법을 이용한 ITO 박막의 연속 증착 공정이 차세대 플렉서블 디스플레이 및 태양전지의 대량 생산을 위한 해결책으로 각광받고 있다. 그러나 대부분의 폴리머 필름의 경우 증착 시 발생되는 열 또는 플라즈마에 의해 방출되는 수분과 유기 솔벤트 같은 오염 물질들에 의한 ITO 박막의 특성 저하와, 낮은 열적 안정성을 가지는 기판 특성상 고온(>
$200^{\circ}C$ )에서 증착이나 후 열처리를 할 수가 없기 때문에, 낮은 저항과 높은 광투과도 특성을 가지는 ITO 필름을 제작하기 위한 공정 최적화가 필요하다. 따라서, 본 연구에서는 롤투롤 스터링법으로 PET 필름 위에 Sn함량이 각각 3, 5, 7.5 10% 도핑된 ITO 타겟을 사용하여 ITO 박막을 증착 하였고, 전기적 광학적 특성을 조사하여 롤투롤 스퍼터링법으로 우수한 전기 전도도와 광투과도 특성을 가지는 ITO/PET 필름의 증착 조건을 최적화 하였다. 또한, ITO 증착 시 필름에서 발생하는 수분에 의한 ITO 박막의 특성 저하 현상에 대하여 조사하였다. -
OLED 소자는 발광 방향에 따라 Bottom Emission 방식과 Top Emission 방식으로 나뉜다. 이 중 대면적 OLED TV 적용에 개구율이 더 높은 Top Emission방식을 선호하는 추세이다. 높은 개구율을 가진 Top Emission OLED소자를 위해서는 투명하고 전도성이 높은 캐소드가 중요하다. 본 연구에서는 Themal Evaporation 시스템을 이용하여 증착한
$SnO_2/Ag-Pd-Cu(APC)/SnO_2$ hybrid 전극의 특성을 연구하고 Oxide/Metal/Oxide(OMO) hybrid 박막의 bending mechanism을 제시하였다. base pressure는$1{\times}10^{-6}Torr$ 로 고정하고$SnO_2$ 박막은 0.34A / 0.32V, APC 박막은 0.46A / 0.40V의 power로 성막하였다. APC와$SnO_2$ 의 두께를 변수로 OMO 전극을 제작하였고 그 전기적, 광학적 특성을 Hall measurement, UV/Visible spectroscopy을 이용하여 분석하고 Figure of merit 값을 바탕으로 최적 두께를 설정하였다. UPS(Ultraviolet Photoelectron Spectroscopy) 분석으로$SnO_2/APC/SnO_2$ 전극의 일함수을 통해 투명 cathode로 쓰였을 때$SnO_2$ 층이 buffer layer역할을 함을 확인하였다. XPS(X-ray photoelectron spectroscopy)를 이용하여 정성분석과 정량분석을 하였고 OMO hybrid 전극의 bending mechanism 연구를 위해 다양한 bending test (Inner/Outer dynamic fatigue test, twisting test, rolling test)를 진행하였다. 물리적 힘이 가해진 OMO hybrid 전극의 표면과 구조는 FE-SEM(Field Emission Scanning Electron Microscope) 분석을 통해서 확인할 수 있었다. -
전자빔의 운동에너지를 변화시켜 전자기장을 발생시키는 진공튜브 장치는 기본적으로 전자빔 발생부인 전자총을 핵심 구성부로 사용한다. 이러한 전자총을 이용하는 진공튜브로는 핵융합을 위해 플라즈마 가열용의 RF를 발생시키는 자이로트론 튜브와 방사광 가속기에서 전자를 가속시키는데 이용되는 클라이스트론 튜브 등이 있으며, 군사적으로는 레이더를 비롯하여 유도미상일에 들어가는 탐색기, 전투기에서 사용되는 송수신용 마이크로파 발생장치 등의 핵심부품인 진행파관 진공튜브 등이 있다. 이러한 응용분야에서는 기본적으로 고출력의 전자파를 필요로 하기 때문에 반도체를 이용한 장치로는 그 성능을 구현할 수 없다. 따라서 열음극을 사용하는 전자총을 기반으로 한 다양한 형태의 진공튜브 장치가 주로 이용되고 있다. 현재 고출력 마이크로파 진공튜브용 열음극 전자총은 대부분 외국에서 수입하고 있는데 그 이유는 전자총의 핵심 부품인 열음극 캐소드를 국내에서 개발하지 못하였기 때문이다. 하지만 본 연구에서는 텅스텐 기반의 함침형 열음극 캐소드를 국내에서 자체 개발하는데 성공하였다. 전통적으로 미국에서 개발해온 함침형 열음극 캐소드는 텅스텐 소결체에 기공을 학보하고 여기에 Ba을 중심으로 한 알칼리성 물질들을 일정비율로 혼합하여 함침한 것으로 일함수 2.1~2.3 eV 수준의 물성을 갖는다. 이에 따라 방출할 수 있는 전류의 양은 운용 온도
$1000^{\circ}C$ 정도에서 전류밀도로 대략 수$A/cm^2$ 수준이다. 본 연구에서 개발한 캐소드는 S-type으로 알려진 것으로 BaO : CaO :$Al_2O_3$ = 4 : 1 : 1 비율로 함침되었다. 고진공장치에서 전류측정 결과$1040^{\circ}C$ 에서$10.6A/cm^2$ 의 전류밀도를 기록하였으며 이에 대하여 Richardson-Dushman equation으로 계산하였을 때, 약 1.9 eV의 일함수를 갖는 것을 알 수 있었다. 이는 현재 많은 응용분야에서 사용하고 있으며 함침형 캐소드에 Os이나 Ir 등의 물질을 코팅하여 일함수를 낮추고 전류밀도를 향상시킨 M-type 캐소드의 결과와 유사한 수준이다. -
Hollow cathode discharge(중공 음극)는 음극 표면에서 발생되는 2차 전자를 이용하여 높은 밀도의 플라즈마를 만들 수 있는 장점이 있다. 전원으로 microwave, RF, DC, pulsed dc등을 사용할 수 있으며 박막의 증착, 식각 등에 응용 가능하다. 물리적 현상으로는 중공 음극 재료 표면 물질의 가열 및 이온 스퍼터링, 2차 전자의 가열, 자기장 인가 구조의 경우 전자 거동이 있다. PIC(particle-in-cell)방식의 모델링과 fluid model을 이용한 방법이 있는데 본 연구에서는 상용 fluid model software인 ESI사의 CFD-ACE+를 사용하여 모델링 하였다. 구동 주파수는 13.56 MHz의 상용 고주파 전원과 보다 낮은 1 MHz, 100 kHz의 수치 모델을 이용하여 HF, MF, LF 영역에서의 동작 특성을 해석하였다. 1차적으로는 가스 유동의 특성을 2D, 3D로 조사하였고 플라즈마 거동은 2차원을 주로 진행하였으며 계산 시간이 오래 거리는 3차원 모델을 하나 만들어 그 특성을 조사하였다.
-
Cutoff probe, diagnostics instrument for plasma density, have been received an extensive attention due to simple, robust and lowest assumption. Although the cutoff probe has a long history, physical model is limited in low density plasma. For that reason, we propose a novel transmission line model of cutoff probe for precise measurement of high density plasma. In addition simplified circuit model can be obtained from transmission line model. It can explain simply physics of cutoff probe in high density plasma.
-
The plasma density is an essential plasma parameter describing plasma physics. Furthermore, it affects the throughput and uniformity of plasma processing (etching, deposition, ashing, etc). Therefore, a novel technique for plasma density measurement has been attracting considerable attention. Microwave probe is a promising diagnostic technique. Various type of cutoff, hairpin, impedance, transmission, and absorption probes have been developed and investigated. Recently, based on the basic type of probes, modified flat probe (curling and multipole probes), have been developing for in situ processing plasma monitoring. There is a need for comparative study between the probes. It can give some hints on choosing the reliable probe and application of the probes. In this presentation, we make attempt of numerical study of different kinds of microwave probes. Characteristics of frequency spectrum from probes were analyzed by using three-dimensional electromagnetic simulation. The plasma density, obtained from the spectrum, was compared with simulation input plasma density. The different microwave probe behavior with changes of plasma density, sheath and pressure were found. To confirm the result experimentally, we performed the comparative experiment between cutoff and hairpin probes. The sheath and collision effects are corrected for each probe. The results were reasonably interpreted based on the above simulation.
-
Park, Ji Hoon;Yusupov, Maksudbek;Lingamdinne, Lakshmi Prasanna;Koduru, Janardhan Reddy;Bogaerts, Annemie;Choi, Eun Ha;Attri, Pankaj 190.1
One of the most serious problems faced by billions of people today is the availability of fresh water. According to statistics, 15% of the world's total output of dye products is discharged into the environment as dye wastewater, which seriously pollutes groundwater resources. For the treatment of chemically and biologically contaminated water the advanced oxidation processes (AOPs) shows the promising action. The main advantage with AOPs is the ability to degrade the organic pollutants to$CO_2$ and$H_2O$ . For this degradation process the AOPs generation of powerful and non-selective radicals that may oxidize majority of the organic pollutants present in the water body. To generate the various reactive chemical species such as radicals (${\bullet}OH$ ,${\bullet}H$ ,${\bullet}O$ ,${\bullet}HO_2$ ) and molecular species ($H_2O_2$ ,$H_2$ ,$O_2$ ) in large amount in water, we have used the atmospheric pressure plasma. Among the reactive and non-reactive species, the hydroxyl radical (${\bullet}OH$ ) plays important role due to its higher oxidation potential (E0: 2.8 V). Therefore, in this work we have checked the degradation of various dyes such as methyl orange, methylene blue and congo red using different type of atmospheric pressure plasma sources (Indirect jet and direct jet). To check the degradation we have used the UV-visible spectroscopy, HPLC and LC-MS spectroscopy. Further, to estimate role of${\bullet}OH$ on the degradation of dyes we have studied the molecular dynamic simulation. -
메탄은 변환을 통해 아세틸렌 및 수소와 같은 에너지 생산에 보다 유용한 기체를 얻을 수 있다. 메탄의 열분해 온도는 약 1,200 K로 알려져 있으며, 그 이상의 고온 환경 및 첨가물을 제공한 경우 효과적인 변환을 기대할 수 있다. 이러한 고온 환경 및 화학반응을 제공할 수 있는 시스템으로 열플라즈마 반응로가 있다. 일반적인 열플라즈마는 아크 방전이나 고주파 유도결합 방전으로 플라즈마 발생기에서 발생시킨 이온화된 열유체로 10,000 K 이상의 초고온과 최대 수천 m/s의 특성을 가지고 있다. 본 연구에서는 효율적인 메탄 변환을 위한 저전력 아크 플라즈마 발생기 및 반응로 내부의 온도 및 속도장을 전산모사하여 열유동 특성을 분석하였다. 아크 플라즈마 토치 영역의 전산해석은 전자기적 현상과 고온 열유동의 유체역학적 현상이 함께 작용하므로 기존에 사용되고 있는 전산유체 역학적인 방법론에 전자기적 현상에 대한 보존 방정식이 결합된 자기유체역학(Magnetohydrodynamic, MHD)방법을 이용하였고, 반응기 내부의 복잡한 열유동은 안정적인 계산이 가능한 상용 전산 유체역학(Computational Fluids Dynamics, CFD) 코드를 MHD 코드를 이용한 전산해석 결과 및 고온 물성치와 결합하여 해석하였다. 전산해석에 사용된 운전 변수로는 방전기체인 아르곤과 수소의 전체 유량을 45 L/min 으로 고정하고 수소의 비율을 0%, 6%, 12.5%, 20%로 하였으며, 각 유량 조건에서 입력 전력을 0.7 ~ 2.5 KW로 변화시켜 전체 15종의 운전조건에 따른 전산해석을 수행하여 각각의 운전변수에 따라 입력전력 기준 오차 1 ~ 28%에 해당하는 결과를 도출하였다. 본 연구를 통해 개발된 전산해석 방법을 이용하여 다양한 조건에서 아크 플라즈마 반응로 내부의 온도 및 속도장에 대한 전산해석 결과를 제시하였고, 효율적인 메탄 변환 공정을 개발하기 위한 아크 플라즈마 반응로의 설계조건 및 운전 조건을 제시할 수 있는 기반을 확보하였다.
-
최근 들어, 열악한 실내 공기 환경과 미세 먼지의 유입으로 아토피 피부염, 알레르기성 비염 및 천식 등의 감염성 질환이 증가하고 있다. 따라서, 공기 중 병원균(Airborne pathogens)을 친환경적으로 제거하는 기술이 요구되고 있는 추세이다. 본 연구에서 제안하는 시스템은 물을 이용하여 플라즈마를 발생시키는 시스템이다. 기존 플라즈마를 이용한 공기 정화 장치는 공기를 사용하여 플라즈마를 발생시키므로 오존과 같은 인체에 유해한 물질들이 발생되는 문제점이 있다. 하지만, 본 연구에서는 물을 사용하여 플라즈마를 발생시키므로 활성 라디칼들이 포함된 물을 미스트 형태로 분사하여 인체에 무해하며, 대기 중 병원균 살균이 가능한 장점이 있다. 물 플라즈마 젯 분사장치는 전원 공급 장치, 플라즈마 전극 그리고 플라즈마 노즐로 이루어져 있으며 주입되는 물을 기반으로 플라즈마를 발생시킨다. 장치의 특성을 분석하기 위하여, 오실로스코프로 전압 및 전류를 측정하였고 적정법을 이용하여 생성되는 활성 라디칼들의 농도를 측정하였다. 또한 살균 능력을 평가하기 위하여 병원균 중 대표적으로 대장균을 배양하여 살균 실험을 수행하였으며, 결과적으로 90% 이상의 대장균이 사멸하는 것을 확인하였다.
-
전기추력기는 화학식 추력기에 비해 비추력이 높아 인공위성의 자세제어, 궤도수정, 궤도천이를 포함한 행성 탐사활동 및 우주 임무수행을 위한 우주선의 엔진 등으로 다양하게 활용된다. 홀 추력기는 전기추력기 중 하나로 고리형 방전공간을 가진 고리형 추력기와 원통형 방전영역을 가진 원통형 추력기가 있으며, 원통형 추력기는 고리형에 비하여 넓은 방전공간으로 저전력 방전에 적합한 추력기이다. 또한, 저전력 추력기는 큐브셋(cubesat) 및 마이크로 위성(microsatellite)의 증가하는 수요에 따라 필요성이 증가하고 있으며, 활용도가 높아 다양하게 연구 및 개발되고 있다. 홀 추력기는 자기장과 전기장을 서로 수직되게 인가하여, 자화된 전자는 플라즈마 방전을 유지시키고 자화되지 않은 이온은 전기장 방향으로 가속되어 이온빔을 발생시킨다. 하지만, 저전력 소형 추력기는 작은 소모전력과 방전채널로 인한 성능 저하 및 자기장 구조 설계 등 많은 어려움들을 가지고 있다. 본 연구에서는, 약 50 W급의 소모전력을 바탕으로 영구자석을 이용한 저전력 플라즈마 추력기를 개발하였다. 방전 채널은 지름 15 mm, 길이 16 mm, 무게는 약 0.6 kg으로 원통형 구조의 채널로 제작되었으며, 약 1500-2000 G의 자기장 세기를 갖도록 설계하였다. 방전 기체는 제논을 사용하여 1-5 sccm영역에서 방전 특성을 살펴보았으며, 방전 전류는 0.02-0.4 A로 나타났다. 100-550 V영역에서 방전을 시도하였고, 채널길이를 16-24 mm 에서 약 1mN 급의 추력특성을 보였다. 본 발표에서, 홀 추력기의 제작 특성과 성능 및 플라즈마 특성에 대한 더 자세한 연구결과가 발표될 예정이다.
-
본 연구에서 개발된 새로운 유형의 마이크로파 대기압 플라즈마 공진기는 전송선로 이론을 기반으로 하여 임피던스 값을
$50{\varphi}$ 으로 설계함으로써 장비 자체에 구조적인 매칭이 이루어지도록 구성하였다. 안정적인 마이크로파 대기압 플라즈마 발생을 위해 컴퓨터 시뮬레이션(HFSS, High Frequency Structural Simulator)을 이용하여 공진기의 반사계수, 공명주파수, 급전점 그리고 전기장을 계산하였다. 전송선로의 이론에서 구한 급전점과 실제 제작한 마이크로파 대기압 플라즈마 공진기의 급전점 값이 큰 차이가 없음을 확인하였다. 이를 바탕으로 제작한 공진기는 급전점 5.6 mm에서 공명주파수는 0.89 GHz, 반사계수는 -37.5 dB임을 측정하였고 신호가 입사되는 정도는 90 % 이상인 것을 확인하였다. 공진기에서 발생된 플라즈마는 6 W의 정도의 저 전력으로 발생되었으며 기체유량과 인가전력에 따른 플라즈마의 기체온도를 측정하고 광 방출 특성을 고찰하였다. 실제 제작한 마이크로파 대기압 플라즈마 발생장치는 생의학적 응용을 포함한 여러 넓은 분야에서 활용될 전망이다. -
We evaluate the change in defects in the oxidized SiO2 grown on 4H-SiC (0001) by plasma assisted oxidation, by comparing with that of conventional thermal oxide. In order to investigate the changes in the electronic structure and electrical characteristics of the interfacial reaction between the thin SiO2 and SiC, x-ray photoelectron spectroscopy (XPS), X-ray absorption spectroscopy (XAS), DFT calculation and electrical measurements were carried out. We observed that the direct plasma oxide grown at the room temperature and rapid processing time (300 s) has enhanced electrical characteristics (frequency dispersion, hysteresis and interface trap density) than conventional thermal oxide and suppressed interfacial defect state. The decrease in defect state in conduction band edge and stress-induced leakage current (SILC) clearly indicate that plasma oxidation process improves SiO2 quality due to the reduced transition layer and energetically most stable interfacial state between SiO2/SiC controlled by the interstitial C.
-
방사성 폐기물의 운반이나 장기 보관 시 방사성 물질의 침출을 차단하기 위한 유리화 기술을 실현하기 위해 이송식 아크 플라즈마에 대해 전산해석을 수행하였다. 본 연구에서는 운전전류나 아크길이와 같은 운전조건 변화에 따른 열플라즈마의 특성 변화 뿐만 아니라 150 kW급 고출력 이송식 아크 플라즈마의 최적 설계를 위하여 핵심 부품인 파일럿 노즐의 길이와 직경 변화에 따른 예상 용융영역을 전산해석 하여 방사성 폐기물의 유리화 기술을 상업적으로 이끌어내는데 기초 자료를 제공하고자 하였다. 노즐직경은 4, 5, 6 mm로 변화시켰으며, 길이는 2, 4, 6mm로 하였다. 이러한 다양한 설계조건에 대하여 운전변수로는 전류 200 A, 방전 기체인 알곤의 유량 15 L/min, 아크 길이 2 cm로 고정하였다. 전산해석 결과 노즐직경이 작을수록 아크압축 효과에 의해 중심부에서 최고 온도가 높은 열플라즈마 제트를 발생시킬 수 있으나, 반경방향으로 온도구배가 커서 고온 구간이 급격히 감소하는 경향이 예상되었다. 반면 노즐직경이 증가할수록 아크 압축효과는 줄어들지만 반경방향으로 온도가 완만히 감소하여 콘크리트가 대부분인 유리화 대상물질을 충분히 용융시킬 수 있는
$2,600^{\circ}C$ 이상의 고온 면적이 넓어지게 될 것으로 예상되었다. 또한, 노즐길이가 줄어들 경우 아크방전의 안정성은 다소 떨어 질 수 있으나 수 있으나 고온의 열플라즈마 제트가 반경방향으로 효과적으로 넓어 질 수 있음이 예측되었다. 따라서 고온 영역의 확장 관점에서 이송식 아크 플라즈마 토치를 제작할 경우 아크의 안정성을 유지하는 범위 내에서 파일럿 노즐의 직경을 크게 하고 길이는 짧게 하는 것이 효과적인 유리화를 위해 유리할 것으로 예상되었다. -
저주파 (수십 kHz)와 고주파 (13.56 MHz)로 구동되는 대기압 플라즈마 젯을 발생시키고, 인가전압 (혹은 인가전력)과 기체 유량에 따른 대기압 플라즈마의 특성을 비교하였다. 고주파에서 발생된 플라즈마는 저주파의 경우보다 안정적이었으며, 인가전압 (혹은 인가전력)이 증가함에 따라 플라즈마 기체온도는 상승하였고, 고주파 젯의 기체온도는 저주파 젯 보다 높았으나 330 K이하인 것을 확인하였다. Optical Emission Spectroscopy (OES)를 이용하여 저주파와 고주파의 광 방출 특성을 측정하였다. 저주파에서는
$N_2{^+}$ (391.4 nm)의 intensity 증가가 두드러지게 나타났지만 고주파 젯에서는$N_2$ ,$N_2{^+}$ 의 intensity는 감소하였으며, OH, NO,$H_{\alpha}$ , O와 같은 활성 산소 종 (Reactive Oxygen Species)이 저주파 젯 보다 높게 측정되었다. Boltzmann plot method를 이용한 분석을 통해 저주파와 고주파 영역에서의 플라즈마 전자 여기 온도를 측정하였다. 또한 자외선 흡수분광법을 이용하여 플라즈마-액체 계면에서의 OH이 입자밀도를 측정하여 OES방법으로 측정한 OH 밀도와 비교하였다. 그리고 화학적 측정법 (terephtalic acid solution)을 이용하여 액체 내의 OH의 농도를 측정하였다. -
Currently, as Plasma application is expanded to the industrial and medical industrial, low temperature plasma applications became important. Especially in medical and biology, many researchers have studied about generated radical species in atmospheric pressure low temperature plasma directly adapted to human body. Therefore, so measurement their plasma parameter is very important work and is widely studied all around world. One of the plasma parameters is electron density and it is closely relative to radical production through the plasma source. some kinds of method to measuring the electron density are Thomson scattering spectroscopy and Millimeter-wave transmission measurement. But most methods have very expensive cost and complex configuration to composed of experiment system. We selected Michelson interferometer system which is very cheap and simple to setting up, so we tried to measuring electron density by laser interferometer with laser beam chopping module for measurement of temporal phase difference in plasma jet. To measuring electron density at atmospheric pressure Ar plasma jet, we obtained the temporal phase shift signal of interferometer. Phase difference of interferometer can occur because of change by refractive index of electron density in plasma jet. The electron density was able to estimate with this phase difference values by using physical formula about refractive index change of external electromagnetic wave in plasma. Our guiding laser used Helium-Neon laser of the centered wavelength of 632 nm. We installed chopper module which can make a 4kHz pulse laser signal at the laser front side. In this experiment, we obtained more exact synchronized phase difference between with and without plasma jet than reported data at last year. Especially, we found the phase difference between time range of discharge current. Electron density is changed from Townsend discharge's electron bombardment, so we observed the phase difference phenomenon and calculated the temporal electron density by using phase shift. In our result, we suggest that the electron density have approximately range between 1014~ 1015 cm-3 in atmospheric pressure Ar plasma jet.
-
대기압 플라즈마는 공기중에서 방전이 가능하고, 이때 생성되는 활성산소종(ROS)과 활성질소종(RNS)을 적절히 이용하면 살균은 물론 제독이 가능하다. 특히 신경작용제나 수포작용제 등의 화학물질은 박테리아 포자, 세균, 바이러스 등의 생물작용제에 비해 더 많은 에너지와 시간이 요구된다. 현재 군이나 의료 시설에서는 과산화수소를 이용한 제독이나 염소계 표백제 성분으로 구성된 수용성 제독제를 이용하지만, 플라즈마의 경우는 단순히 기체를 방전하여 제독에 이용할 수 있으므로 보다 제독 시스템을 간단하게 구성할 수 있다. 하지만 대기압 방전시 방전전압을 낮추기 위해 헬륨과 알곤등의 기체를 공급하여 사용할 경우 부가적인 시스템의 규모가 커져 활용에 제한이 따른다. 따라서 본 연구에서는 대기중에 존재하는 질소, 산소 등을 이온화시키기 위해 10-25kHz의 주파수에서 4.5kV의 8us 펄스전원을 인가하여 공기 플라즈마를 얻고, 열에 의한 분해효과를 제거하기 위해 플라즈마의 기체온도를 20도로 유지시켰다. 플라즈마의 특성은 방출광 분석법을 이용하여 떨림온도를 계산하였고, 질소와 오존의 농도를 동시에 관찰하였다. 분해된 화학작용제는 기체분석기(Gas Chromatography)를 통해 표준 오염농도대비 잔류한 양을 측정함으로써 제독효율을 계산하였다.
-
TCO(Transparent Conducting Oxide) on flat glass is used in thin-film photovoltaic cell, flat-panel display. Nowadays, Corning(R) Willow Glass(R), known as flexible substrate, has attracted much attention due to its many advantages such as reliable roll-to-roll glass processing, high-quality flexible electronic devices, high temperature process. Also, it can be an alternative to flexible polymer substrates which have their poor stability and degradation of electrical and optical qualities. For application on willow glass, the flexibility, electrical, optical properties can be greatly influenced by the TCO thin film thickness due to the inherent characterization of thin film in nanoscale. It can be expected that while thick TCO layer causes poor transparency, its sheet resistance become low. Also, rarely reports were focusing on the influence of flexible properties by varying TCO thickness on flexible glass. Therefore, it is very important to optimize TCO thickness on flexible Willow glass. In this study, Ti-ZnO thin films, with different thickness varied from 0 nm to 50 nm, were deposited on the flexible willow glass by atomic layer deposition (ALD). The flexible, electrical and optical properties were investigated, respectively. Also, these properties of Ti-doped ZnO thin films were compared with un-doped ZnO thin film. Based on the results, when Ti-ZnO thin films thickness increased, resistivity decreased and then saturated; transmittance decreased. The Figure of Merit (FoM) and flexibility was the highest when Ti-ZnO thickness was 40nm. The flexible, electrical and optical properties of Ti-ZnO thin films were better than ZnO thin film at the same thickness.
-
The design and development procedures of SF6 gas circuit breakers are still largely based on trial and error through testing although the development costs go higher every year. The computation cannot cover the testing satisfactorily because all the real processes arc not taken into account. But the knowledge of the arc behavior and the prediction of thermal plasmas inside SF6 interrupters by numerical simulations are more useful than those by experiments due to the difficulties to obtain physical quantities experimentally and the reduction of computational costs in recent years. In this paper, in order to get further information into the interruption process of a SF6 self-blast interrupter, which is based on the combination of thermal expansion and arc rotation, gas flow simulations with a CFD-arc modeling are performed during the whole switching process such as high-current period, pre-current zero period, and current-zero period. Through the complete work, the temperature of residual arcs as well as the breakdown index after current zero should be a good criterion to predict the dielectric capability of interrupters.
-
Organic light-emitting diode (OLED) displays have promising potential to replace liquid crystal displays (LCDs) due to their advantages of low power consumption, fast response time, broad viewing angle and flexibility. Organic light emitting materials are vulnerable to moisture and oxygen, so inorganic thin films are required for barrier substrates and encapsulations.[1-2]. In this work, the silicon-based inorganic thin films are deposited on plastic substrates by plasma-enhanced chemical vapor deposition (PECVD) at low temperature. It is necessary to deposit thin film at low temperature. Because the heat gives damage to flexible plastic substrates. As one of the transparent diffusion barrier materials, silicon oxides have been investigated.
$SiO_x$ have less toxic, so it is one of the more widely examined materials as a diffusion barrier in addition to the dielectric materials in solid-state electronics [3-4]. The$SiO_x$ thin films are deposited by a PECVD process in low temperature below$100^{\circ}C$ . Water vapor transmission rate (WVTR) was determined by a calcium resistance test, and the rate less than$10.^{-2}g/m^2{\cdot}day$ was achieved. And then, flexibility of the film was also evaluated. -
Hydroxyl Radical Species Generated by Non-thermal Direct Plasma Jet and Their Qualitative EvaluationReactive oxygen and nitrogen species (RONS) can be generated by using non-thermal atmospheric pressure plasma jet which have profound biomedical applications [1, 2]. In this work, reactive oxygen species like hydroxyl radical (OH) are generated by using non-thermal direct plasma jet above water surface using Ar gas and their properties have been studied using ultraviolet absorption spectroscopy. OH radicals are found to be generated simultaneously with the discharge current with concentration of
$2.7{\times}1015/cm3$ at 7mm above water surface while their persistence time have been measured to be$2.8{\mu}S$ . In addition, it has been shown that plasma initiated ultraviolets play a major role to generate RONS inside water. Further works are going on to measure the temporal behavior of OH and$O2^*-$ . -
Tungsten coatings on the graphite (CX-2320) were successfully deposited using the vacuum plasma spraying (VPS) method. An optimum coating procedure was developed and coating thicknesses of
$409{\mu}m$ (without an interlayer) and$378{\mu}m$ (with an interlayer) were obtained with no cracks and no signs of delamination. The mechanical characteristics and microstructure of the tungsten coating layers were investigated using a Vickers hardness tester, FE-SEM, EDS, and XRD. The effect of a titanium interlayer on the properties of the tungsten coating was investigated. It was shown that the titanium interlayer prevented the diffusion of carbon to the tungsten layer, thereby suppressing the formation of tungsten carbide. Vickers hardness data yielded values that were 62.5 ~ 80.46% of those for bulk tungsten, indicating that tungsten coatings on graphite can be utilized as a plasma-facing material. High heat flux tests were performed by using thermal plasma with a maximum flux of$10MW/^2$ . Vickers hardness after the heat flux test is performed to see a change in the mechanical properties. The formationof a tungsten carbide and the effect of the titanium interlayer for the diffusion barrier are investigated by using energy dispersion spectroscopy (EDS). -
Carbon-based materials have been known as ablative material and have been used for thermal protection systems. Ablation is an erosive phenomenon that results in thermochemical and thermomechanical changes on materials. Ablation resistance is one of the key properties that determines performance and life-time of the thermal protection material under ablative conditions. In this study, ablation properties of graphite, 3-dimensional (C/C) composites (needle-punched type and rod type) were investigated byusing a plasma wind tunnel which produce a supersonic plasma flow from a segmented arc heater with the power level of 0.4 MW. The mass losses and surface roughness changes which contain main result of the ablation are measured. A morphological analysis ofthe carbon-based materials, before and after the ablation test, are performed through field emission scanning electron microscopy (FE-SEM) and non-contact 3D surface measuring system. Electronic balance and a portable surface roughness tester were used for evaluation of the recession and mass loss of the test samples.
-
본 연구에서는 펄스형으로 운전하는 플라즈마를 대상으로 하거나 플라즈마 특성의 빠른 변동을 파악하기 위해 1 kHz 이상의 반복율, 5 pulse burst mode와 2 J/pulse 이상의 발진특성을 갖는 Nd:YAG 레이저를 기반으로 하는 광원을 개발하기 위하여 레이저와 전원장치를 설계하였다. 전체 시스템은 MOPA(Master Oscillator Power Amplifier)형태로 구성하고 발진기는 FBG(Fiber Bragg Grating)을 반사경으로 사용한 Yb fiber 레이저를 설계하였다. 또한 설계한 레이저를 작동시킬 전원장치를 연구하였다. 정확한 스위칭과 턴온, 턴오프를 구현하기 위하여 IGBT 스위치를 적용하였고 적절한 저항을 조합하여 전류가 시간에 따라 상승하다가 스위치 턴오프에 급격히 감소하는 형태의 레이저 다이오드 구동에 적합한 삼각파와 비슷한 전류파형을 설계하였다. 추후 설계한 두 장치를 제작하여 테스트를 거친 후, VEST 내부 플라즈마 진단에 적용할 계획이다.
-
The triggered vacuum switch (TVS) is widely used as a high power switch in the field of pulsed power application. TVS can produce current of higher than 100 kA within a microsecond after being triggered. A triggering high voltage pulse generator supplies a high voltage signal to the trigger system to initiate the discharge between a trigger pin and one of main electrode. The trigger system, which consists of a tungsten trigger electrode and cylindrical ceramic insulator around it, is normally installed at the center of main cathode electrode. The discharging characteristics of the trigger system strongly depend on the geometry, electrode material, vacuum pressure and so on. In addition, we especially will focus on the developing a triggering pulse generator, which can vary not only value of voltage but also pulse duration, because its properties gives pivot influences on the TVS discharge. To verify such effects, we made a 3.3 kJ TVS set-up initially. Thus we will discuss some of prominent results from 3.3 kJ TVS system. In parallel we will show on the design of 300 kJ TVS system for the high current in the future.
-
Poly etch 설비에서 발생하는 dechuck 불량에 의한 Dynamic Alignment(DA) error는 poly etch 설비에서의 고질 적인 문제이다. 발생 원인은 ElectroStatic Chuck(ESC)의 노후화 혹은process plasma에 의한 attack 등으로 ESC와 wafer간 dechucking이 진행될 때 wafer내의 전하가 완전히 discharge되지 못하여 wafer Sticking에 의한 sliding이 발생되며 심해지면 Dynamic Alignment(DA) Error가 발생한다. DA error 발생 되면 particle down으로 wafer는 scrap 되며 DA error가 지속적으로 발생하는 설비는 ESC 교체를 하고 있다. ESC 교체비용도 매우 크며 교체 전까지 설비가 멈추어있는 시간적인 손실이 발생하게 된다. Dechucking을 진행할 때 Wafer에 잔존하는 전하를 제거 하여 Wafer의 sticking을 줄여 DA error를 근원적으로 방지하기 위해 plasma를 이용하여 wafer와 ESC를 하나의 electric circuit으로 연결시키는 방법으로 wafer에 잔존하는 전하를 제거 시키고자 하였다.
-
미생물이 스스로 생성한 고분자 물질에 싸이며 군집체를 형성한 바이오필름은 고체 표면에 부착되며 우리 생활 속에 다양한 형태로 발견할 수 있다. 바이오필름은 미생물에 적합하지 않은 외부 환경으로부터 미생물 스스로 보호하는 기능을 하며, 형성된 바이오필름은 오랜 기간 동안 생존하여 살균제나 항생제로부터 저항성을 가져 살균과정에서 제거되지 않고 2차오염을 야기할 수 있어 식품 가공 기계 및 수도관, 의료기기 등에 형성되었을 경우 식품 오염, 상처 감염 등의 원인이 된다. 이 때문에 위생과 바이오필름의 상관관계를 인지하고 이를 제어하기 위한 연구가 여러 방법으로 진행되고 있다. 대표적인 방법으로는 천연 향균제 개발, 쿼럼 센싱(Quoroum sensing)과 같이 미생물의 신호전달 체계를 차단하는 물질 개발 및 플라즈마 처리 등이 있다. 본 연구에서는 격자형식의 유전장벽방전(DBD) 형식의 플라즈마 소스를 개발하여 바이오필름의 효과적인 제어 가능성을 확인하고, 제어 방식의 관계를 파악하였다. 플라즈마 처리 대상의 화학적 분석을 위하여 유기물질 등을 사용해 플라즈마 처리수 내 화학물질 분석 시스템을 구축하여 이를 기반으로 플라즈마로 생성된 HNO2, NO2-, H2O2 등의 화학종이 가지는 바이오필름 제어 관계를 살펴보았으며, 화학적 방법인 제어효과와 비교하여 플라즈마의 바이오필름 제어 특성에 대해 살펴보았다. 본 발표에서 플라즈마의 바이오필름 제어효과에 대한 분석에 대해 더 자세한 결과가 발표될 예정이다.
-
핵융합로에서 고온, 고에너지 플라즈마에 장기간 노출되는 플라즈마 대면재는 고속 입자와 중성자에 의한 열화 및 침식과 높은 열부하를 견뎌야 하므로 높은 수준의 재료기술과 표면 코팅기술의 개발이 필요하다. 텅스텐은 용융점이 높고, 스퍼터링(Sputtering) 현상이 적으며, Tritium 재침적 현상이 제한되는 우수한 특성 때문에 핵융합로 대면제에 적용하기 위한 다양한 연구가 진행되고 있다. 본 연구에서는 VPS(vacuum plasma spray) 장비를 이용하여 5, 10,
$25{\mu}m$ 크기의 텅스텐 분말을FM(ferritic-martenitic) steel 기판에 용사 코팅하였다. 입자 크기를 달리하여 제작한 3종의 시편은 시편 전후 두께 및 무게 변화, 현미경이미지, 비커스 경도, 3D 표면 형상, XRD를 이용하여 코팅층의 특성을 평가하였으며,$10{\mu}m$ 크기의 텅스텐 분말 시편이 가장 우수한 특성을 나타내는 것을 확인하였다. -
The emission test a domestic fabricated cathode is conducted using an easy-replaceable-emitter-type test bench. A simple cylindrical button type cathode is dropped vertically into a cathode cup holder. The cathode is heated by a tungsten wire heater located around the cup holder. The cathode temperature is measured by an optical pyrometer. A high voltage pulse power supply gives the anode-cathode gap voltage up to 20 kV with the pulse width of 15 us. The emitted current from the cathode is captured at a faraday cup and is measured using current transformer and oscilloscope. The test bench is installed in the vacuum chamber with easy access door and, therefore, the cathode can be easily replaceable. We confirmed the emission current density of
$15A/cm^2$ and$80A/cm^2$ with a domestic fabricated B-type cathode and a Scandate cathode, respectively. The detailed test result for the cathode will be presented. -
히드라란 단세포 생물로써 강장동물에 속한다. 촉수가 많이 있으며, 그 촉수에는 독이 있다. 번식 방식으로는 출아법을 이용한다. 출아를 할 때에는 한 마리가 아닌 여러 마리의 히드라가 동시에 출아를 하기도 하며, 출아를 하고 있는 히드라는 촉수가 들어난 순간부터 먹이 섭취가 가능해진다. 이 출아법을 이용하여 번식을 하는 히드라가 DBD처리를 했을 시, 히드라 출아에 차이를 보인다면 다른 생물에게도 DBD 처리를 했을 시, 영향을 미친다고 생각하고 실험을 진행하였다. DBD(Dielectric Barrier Discharge)는 두 전극 사이에 유전체층이 있으며, 외부에서 교류 전압을 가해준다. 그러면 유전체 사이에서 방전이 발생되는데, 방전된 것을 플라즈마라고 한다. DBD라는 유전체 장벽 방전으로써 주위를 이온화 시켜 만드는 플라즈마에 유전체를 씌어 생물에게 최대한 해가 되지 않도록 만든 것이다. 유전체 장벽 방전에ROS(Reactive Oxygen Species)라는 산소와 결합된 기체들이 생성된다. DBD로 인해서 생성되는 ROS를 히드라에 처리했을 경우 히드라 출아수에 변화를 통해서 해를 끼치는 정도를 알아보고자 하였다. 그 결과 아르곤 기체에 의한 ROS로 처리한 히드라는 대조군 보다 히드라의 출아수의 변화가 있는 것으로 관찰되었고, 공기를 이용하여 방전한 DBD의 ROS로 처리한 히드라는 대조 군과 비교하여 큰 변화가 없어 보였다. 따라서 아르곤 대기압 DBD플라즈마를 이용하여 만든 ROS가 히드라에게 직접적인 영향을 준 것으로 보였다. 이 결과를 토대로 아르곤DBD를 이용한 ROS 처리는 생물에게 영향을 줄 수 있다는 것을 이 실험을 통해 간접적으로 확인해 볼 수 있었다.
-
Many researchers have paid attention to the studies on the interaction between non-thermal plasma and aqueous solutions for biomedical applications. The gas composition in the plasma is very important. Oxygen and nitrogen are the main gases of interest in biological applications. Especially, we focus on the oxygen concentration. In this experiment, we studied the role of oxygen concentration in plasma induced chemical reactions in solution. At first, the amount of ions are measured according to changing the oxygen concentration. And we checked the relationship between these ions and pH value. Secondly, when the oxygen concentration is changed, it identified the type and amount of radical generated by the plasma. In order to confirm the effect of these chemical property change to biological material, hemoglobin and RBCs are chosen. RBCs are one of the common basic biological cells. Thirdly, when plasma treated according to oxygen concentration in nitrogen feeding gas, oxidation of hemoglobin and RBC is checked. Finally, membrane oxidation of RBC is measured to examine the relation between hemoglobin oxidation and membrane damage through relative hemolysis and Young's modulus. Our results suggest that reactive species generated by the plasma differsdepending on the oxygen concentration changes. The pH values are decreased when oxygen concentration increased. OH decrease and NO increase are also observed. These reactive species makes change of chemical properties of solution. We also able to confirm that the difference in these reactive species to affect the oxidation of the Hb and RBCs. The Hb and RBCs are more oxidized with the high oxygen concentration conditions. But membrane is damaged more by plasma treatment with only nitrogen gas. It is shown that red blood cells membrane damage and oxidation of hemoglobin are not directly related.
-
우리에게 잘 알려져 있지 않은 강장동물 히드라는 뛰어난 세포 분열 능력을 가지고 있다. 출아(무성생식)를 하면서도 환경에 따라 유성생식을 하기도 하는 몇 안 되는 생물 중 하나인 히드라는 재생능력이 강하여 몸의 200분의 1만 잘려도 재생을 할 수 있는 능력을 가지고 있다. 이러한 히드라의 재생능력을 높은 에너지인 플라즈마에 노출시켜 보았다. 플라즈마는 열, 빛, 화학 활성종, 이온, 전자를 발생하며 이 중 열 및 화학적 자극을 중심으로 관찰하였다. 생물이 수용할 수 있는 열에너지를 넘게 받는다면 그 성질이 변하는 점을 이용해 액체 방전소스를 이용하여 플라즈마의 열적인 효과를 주었고, DBD소스로는 약 염기를 띠는 라디칼(활성종)용액을 배양액으로 만들어 히드라에게 배양시켜 히드라의 생장능력 변화를 알아보았다. 생장능력의 변화는 히드라의 개체 수를 통해 관찰하였다. 플라즈마를 발생시키는 소스는 다양하며 그 중 이번 실험에서는 액체 방전 소스와 DBD를 이용하였다. 액체방전 소스는 누전을 막기 위해 세라믹 관에 금속선을 넣어 고전압을 인가하여 방전하였고, DBD(Dielectric Barrier Discharge의 약어)는 유전체 장벽을 이용하여 기체를 방전시키는 방식이다. DBD는 주로 살균 용도로 연구 중이며, DBD는 주변 기체들을 반응시켜 라디칼을 상당히 만들어 낼 수가 있다. 한편, 생물학에서 주목 받고 있는 히드라는 200분의 1만 잘려도 재생이 되는 재생능력을 갖고 있다. 히드라의 이러한 생장 및 재생속도는 생체모방 기술로도 주목을 받고 있다. 이번 실험은 최근 연구되고 있는 플라즈마의 효과를 히드라에 적용한 것으로 플라즈마의 간접적인 영향이 히드라에 어떠한 영향을 줄 것인지 알아보았다. 간접적인 영향으로는 크게 열적인 요인과 화학적인 요인으로 나누어 관찰하였다. 실험을 통해 히드라의 변화를 알아보고 그 결과가 실용가능한지를 알아보고자 한다.
-
Up to now, Plasma applications are thought as a leading technology in industrial, chemical and even medical and biological field. Especially, Due to direct discharge in liquid with reaction in ambient solution, plasma in liquid is useful plasma technology. Such as electro-surgery, water purification, radical generation for synthesis. For using those plasma applications efficiently, plasma characteristics should be understood in advance. But discharge in liquid is not much well-known about its characteristics. And plasma discharge in solution is difficult to generate and analysis due to electrolysis, vaporization and radical generation. So, We make stable plasma discharge in solution(saline 0.9%) without input gas. We also analyze new type of plasma source in thermal and electrochemical view. And we check characteristics of plasma in liquid. For example, plasma density and radical density(OH) with optical emission, thermal energy with thermometer, electrical energy with oscilloscope and so on. And we try to explain the bubble and plasma formation with circuit analysis.
-
전기변색 소자는 가시광선의 투과도 조절이 가능하며 또한 메모리특성 이라는 독특한 특성 때문에 최근 많은 연구자들이 주목하고 있다. 이러한 전기변색 소자는 전기변색 물질에 따라 스마트 윈도우, 투명 디스플레이 그리고 자동차용 룸 밀러 등 다양한 분야에 응용이 가능하다. 본 논문에서는 높은 변색 속도와 안전성 (durability)를 가지는 전기변색 소자를 제작하였다. 제작된 소자는 4 Hz (125 ms)구동 속도에서 약 30,000 cycles 구동에도안정적으로 작동하며 투과도 변화폭은 약 50% 이다.
-
An inductively coupled plasma source was prepared for the deposition of a-C:H thin film. Properties of the inductively coupled plasma source are investigated by fluid simulation including Navier-Stokes equations and home-made tuned single Langmuir probe. Signal attenuation ratios of the Langmuir probe harmonic frequency were 13.56Mhz and 27.12Mhz. Dependencies of plasma parameters on process parameters were accord with simulation results. Ar/CH4 plasma simulation results shown that hydrocarbon radical densities have their lowest value at the vicinity of gas feeding line due to high flow velocity. For input power density of 0.07W/cm3, CH radical density qualitatively follows electron density distribution. On the other hand, central region of the chamber become deficient in CH3 radical due to high dissociation rate accompanied with high electron density. The result suggest that optimization of discharge power is important for controlling deposition film quality in high density plasma sources.
-
Ali, Anser;Kumar, Naresh;Kumar, Ajeet;Rhee, Prof. Myungchull;Lee, SeungHyun;Attri, Pankaj;Choi, Eun Ha 211.1
Several reports have demonstrated the wide range of nonthermal plasma applications in biomedical field including cancers, diabetics, wound healing and cosmetics. Recently, it has been shown that plasma is able to modulate the p38 MAPK and JUN level in cells which has a crucial role in melanin synthesis and skin pigmentation. Therefore we investigated the effect of plasma on melanogenesis in-vitro using melanoma (B16F10) cells and in-vivo using mouse and zebra fish. To investigate the mechanism of plasma action, plasma device characteristics were measured, reactive species inside and outside the cells were detected, and western blot was performed to find the signaling pathway involved in melanin activation in-vitro and in-vivo. This is the first report presenting the role of nonthermal plasma for melanogenesis which provides a new perspective of plasma in the field of dermatology. -
그래핀은 본연의 우수한 물성으로 인하여 전자소자, 에너지 저장매체, 유연성 전도막 등 다양한 분야로의 응용가능성이 제기되었으나, 실제적인 응용을 위해서는 구조적인 결함을 최소화하며, 특성을 자유로이 제어하거나 향상시키는 공정의 개발이 요구된다. 특히 그래핀을 전자소자로 응용하기 위해서는 전기적 특성을 제어하는 것이 요구된다. 일반적으로 화학적 도핑은 그래핀의 전기적 특성을 제어하는 효율적인 방법으로 알려져 있다. 화학적 도핑은 그래핀을 구성하는 탄소원자를 이종원자로 치환하거나 표면에 흡착시켜 기능화 된 그래핀을 얻는 방법으로, 특정 가스 분위기에서 고온 열처리하거나 활성종들이 존재하는 플라즈마에 노출시키는 방법이 제시되었다. 특히 플라즈마를 이용한 도핑방법은 저온에서 단시간의 처리로 도핑이 가능하고, 플라즈마 변수를 변경하여 도핑정도를 수월하게 제어할 수 있다는 장점을 가지고 있다. 그러나 플라즈마내의 극성을 띄는 다양한 활성종들의 충돌효과로 인하여 구조적인 손상이 발생하여 오히려 특성이 저하될 수 있어 이를 고려한 플라즈마 공정조건의 설정이 필수적이다. 따라서 본 연구에서는 플라즈마에 노출된 그래핀의 Raman 특성을 고찰함으로써 화학적 도핑과 구조적인 결함의 경계를 확립하고 구조결함의 형성을 최소화한 효율적인 도핑조건을 도출하였다. 그래핀은 물리적 박리법을 이용하여 300 nm 두께의 실리콘 산화막이 존재하는 실리콘 웨이퍼 위에 제작하였으며, 평행 평판형 직류 플라즈마 장치를 이용하여 전극의 위치, 인가전력, 처리시간을 변수로 암모니아(
$NH_3$ ) 플라즈마를 방전하여 그래핀의 Raman 특성변화를 관찰하였다. 그래핀의 구조적 결함 및 도핑 효과는 라만 스펙트럼의 D, D', 2D밴드의 강도와 G밴드의 위치와 반치폭(Full width at half maximum; FWHM)의 변화를 통해 확인하였다. 그 결과, 인가전력과 처리시간에 따라 결함형성과 질소도핑 영역이 구분 가능함을 확인하였으며, 이를 바탕으로 결함형성을 최소화한 효율적인 도핑조건이 접지전위, 0.45 W의 인가전력, 처리시간 10초이며, 최적조건에서 계산된 도핑레벨은$1.8{\times}10^{12}cm^{-2}$ 임을 확인하였다. -
최근 대기압 플라즈마의 활용분야는 기판의 표면처리, 바이오 분야 등에 널리 활용되고 있지만, 현재까지 정립된 대기압 플라즈마 분석법은 광학적, 전기적 방법으로 이를 통해 대기압 플라즈마를 분석하는데 어려움을 겪고 있다. 가장 널리 사용되는 OES(Optical Emission Spectroscopy) 측정법의 경우에는 플라즈마로부터 방출되는 광을 측정하여, 방출 강도로부터 플라즈마 밀도를 얻는데 어려운 점이 있다. 전기적 진단법 중 하나인 랑뮤어 탐침은 주로 진공장비에서만 사용가능하며, 대기압플라즈마에서 직접 접촉하여 플라즈마에 영향을 주어, 플라즈마 밀도를 정확히 측정하기 어렵다. 본 연구에서는 대기압 플라즈마의 캐페시턴스을 측정하여 플라즈마의 밀도를 측정하였다. DC power supply에서 발생된 DC전원을 인버터를 통해서 AC전원으로 변환한 뒤, Ar가스를 석영관에 주입하여 대기압 플라즈마 젯를 발생시켰다. 발생된 대기압 플라즈마를 석영관 외부 전극 사이에 캐패시턴스로 플라즈마 밀도를 측정하였다. Ar 가스 유량에 따라 플라즈마 밀도를 변화를 살펴보았다.
-
플라즈마 공정은 공정의 미세화, 저온화의 필요성 때문에 반도체 및 태양전지 분야 등 여러 분야에서 널리 쓰이고 있으며 그 중요성은 점점 더 커져가고 있다. 그러나 플라즈마를 사용하는 공정에서 공정조건의 미세한 변화에 따라서 플라즈마 특성이 크게 바뀌어 공정조건에 따른 공정 결과의 예측을 어렵게 한다. 따라서 플라즈마를 이용하는 공정에 있어서 다양한 변수의 복합적인 상호작용을 고려하여 공정 결과를 예상 할 수 있는 시뮬레이션에 대한 연구가 요구되고 있다. 본 연구에서는 유도 결합형 플라즈마 발생 장치에서 공정조건에 따른 플라즈마 밀도 분포 변화를 전자기장 시뮬레이션을 통해 예상해보았으며, 시뮬레이션 결과를 실제 방전 상황에서 DLP(Double Langmuir Probe)로 측정한 값과 비교하여 플라즈마 밀도 분포와 전자기장 시뮬레이션의 정확성을 검토하였다.
-
플라즈마는 반도체, 디스플레이, 태양전지 등 다양한 산업 분야에 이용된다. 플라즈마 공정 시 수율 향상을 위해 플라즈마를 진단하는 기술이 필요한데, 대표적으로 전자온도가 있다. 반도체 공정의 낮은 압력과 높은 밀도의 플라즈마에서 전자온도는 1~10 eV 정도인데, 0.5 eV정도의 아주 적은 차이로도 공정 결과에 큰 영향을 미친다. 플라즈마의 전자온도를 측정하는 방법은 전기적 탐침 방법인 랑뮤어 탐침(Langmuir Probe)과 와이즈 프로브(Wise Probe)를 이용한 방법, 그리고 광학적 방법인 방출분광법(OES : Optical Emission Spectroscopy)이 있다. 전기적 탐침 방법은 직접 플라즈마 내부에 탐침을 넣기 때문에 불활성 기체를 사용한 공정에서는 잘 작동하지만 건식식각이나 증착에 사용할 경우 탐침의 오염으로 인한 오동작, 공정 시 생성된 샘플에 영향을 줄 수 있다는 단점이 있다. 반면에 방출분광법은 광학적 진단으로, 플라즈마를 사용하는 공정 진행 중에 외부에 광학계를 설치하여 플라즈마에서 발생하는 빛을 광학적으로 분석하기 때문에 공정에 영향을 미치지 않고, 공정 장비에 적용이 쉬운 장점을 가지고 있다. 본 연구에서는 RF Power를 인가한 유도결합플라즈마(ICP : Inductively Coupled Plasma) 공정에서 아르곤 가스와 산소 혼합가스 분압과 인가전압을 변화시켜 플라즈마 방출광 세기 변화에 따른 전자온도를 측정하였다. 전자온도 측정에는 전기적 방법인 랑뮤어 탐침, 와이즈 프로브를 이용한 방법과 광학적 방법인 방출분광법을 사용하여 측정하였으며 이를 비교 분석하였다.
-
플라즈마는 현대 산업에서 다양한 고부가가치 산업 분야에 걸쳐 이용되고 있다. 이러한 플라즈마를 정밀하게 진단하고 제어하는 기술이 공정의 수율을 증대하고 생산성을 높이는데 크게 기여함은 자명하다. 플라즈마를 진단하는 방법은 크게 광학적 진단 방법과, 전기적 진단 방법으로 나눌 수 있는데 광학적 진단 방법은 방전시 발생하는 방출광을 통해 플라즈마의 현재 상태를 예측하는 방법이고, 전기적 진단 방법은 플라즈마 내로 직접 탐침을 접촉하여 전기적 물리량을 측정하는 방법이다. 각각은 정성적, 정량적 진단을 하는 데에 장점이 있다. 공정 모니터링은 주로 광학적 진단 방법에 의해 이루어지는데 전기적 진단 방법은 플라즈마와 직접 접촉하기 때문에 플라즈마에 대한 간섭현상이 발생하므로 부적합하다. 해당 실험에서는 유도 결합형 플라즈마 발생 용기에 아르곤, 산소 혼합 유체를 유입하여 방전하며 광학적 진단 방법을 통해 플라즈마를 관측하며 실험을 진행하였다. 측정 장치는 3채널 광학 진단이 가능한 시스템을 구성하여 공정중 발생하는 방출광의 특정 피크 변화를 공정 변수 변화로 인지하여 질량 유량 제어기를 조작, 피크를 초기상태로 되돌리는 공정 제어가 가능하도록 시스템을 구성하였다. 이를 통해 플라즈마를 이용한 공정 중 공정 변화에 자동으로 대응하는 공정제어 시스템을 시험 하였다.
-
전북대학교 고온플라즈마응용센터에 구축된 0.4 MW 급 분절형 아크 가열 풍동은 초음속 비행과 우주 비행체의 지구 재진입 조건에서의 유사한 환경 모사가 가능하다. 극한상황에서의 고엔탈피 플라즈마 유동은 내열재료의 삭마 거동 연구와 고온재료의 성능평가에 중요한 역할을 수행 할 수 있다. 이러한 고엔탈피 초음속 플라즈마 유동장의 플라즈마 특성 평가 및 진단은 플라즈마와 내열재료의 상호작용 연구에 중요한 변수를 갖는다. 이를 위해 열유속 탐침, 쐐기 탐침, 고속 카메라 및 광분광기 등의 측정장비를 사용하여 열유속, 초음속 플라즈마의 속도, 플라즈마의 방전특성을 관찰하였다. 본 실험에서 사용된 분절형 아크 토치는 마하 3의 속도 유지하기 위해 토치 내부 압력 4 bar, 반응기 압력 40 mbar를 유지하였다. 토치에 공급되는 Ar(5%)+Air(95%)의 방전기체의 유량은 15 g/s 로 토치에 주입 되었다. 또한, 플라즈마 토치에 가해지는 입력전류는 200A ~ 350A로 10MJ/kg 이상의 엔탈피를 갖는 초음속 플라즈마 유동을 형성하였다.
-
트랜지스터 응용 등에 관한 연구가 활발해 지면서 에너지 밴드갭이 0 eV에 가까운 그래핀 이외의 밴드 갭 조절이 가능한 MoS2 (molybdenum disulfide), BN (boron nitride), Bi2Te3 (bismuth telluride), WS2 (tungsten disulfide) 등과 같은 이차원 Transition Metal DiChalcogenides (TMDC) 물질이 반도체 물질로 각광받고 있다. 특히 MoS2의 경우 단결정 덩어리 상태에서는 약 1.3 eV의 밴드갭을 가지나 두께가 줄어들어 두 층일 경우에는 약 1.65 eV, 단일층이 되면 약 1.9 eV의 밴드갭을 가져 박막 층수에 따라 에너지 밴드갭 조절이 가능한 것으로 알려져있다. 하지만 두께 조절이 가능하면서 대면적, 고품질을 가지는 MoS2 박막 합성은 아직 제한적이라 할 수 있으며 새로운 방법 및 물질에 대한 연구가 지속적으로 이루어 지고 있다. 따라서 본 연구에서는 다양한 층수를 지니는 MoS2 합성을 위해 나노 두께의 MoS2 박막을 CF4 plasma 를 이용하여 layer etching 진행하고 CF4 plasma 100초 etching 진행한 2 layer 두께의 MoS2를 기준으로 H2S plasma를 이용하여 treatment 진행하였다. 물리적, 화학적 분석은 Raman spectroscopy, XPS(X-ray Photoelectron Spectroscopy), AFM (Atomic Force Microscopy) 등을 이용해 진행하였고 이를 통해 MoS2 layer 감소 및 damage recovery 등을 확인하였다.
-
플라즈마 방출광 진단법은 플라즈마에 특별한 영향을 주지 않으면서도 진단 정보를 안정적으로, 지속적으로 취득할 수 있는 우수한 진단 방법이다. 이러한 분광 진단의 신뢰성을 확보하기 위해서는 방출광의 정확한 측정과 해석이 중요하다. 방출광의 측정에 이용되는 분광 장비는 모노크로메터(monochromator)와 소형 스펙트로메터(spectrometer)가 주로 사용된다. 스펙트로메터의 경우 모노크로메터보다 분광 성능은 다소 부족하지만 가볍고 작은 크기로 인해 장비의 설치가 용이하고 가격이 저렴하다는 장점이 있다. 또한 모노크로메터에 비해 분광 성능이 낮은 대신 넓은 범위의 파장을 동시에 측정할 수 있는 장점이 있다. 따라서 스펙트로메터는 플라즈마의 모니터링에 주로 사용된다. 그런데 스펙트로메터의 기기적 선폭 증대(instrumental broadening)보다 조밀하게 위치한 스펙트럼들은 서로 중첩이 일어나 진단이 어려워진다. 특히 분자 띠 스펙트럼(molecular band spectrum)과 같은 경우 선 스펙트럼들이 매우 밀집된 형태를 이루고 있어 범용적인 스펙트로메터로 진단하기가 어렵다. 이러한 문제점을 해결하기 위하여 합성 스펙트럼 해석법(synthetic spectrum method)을 이용할 수 있다. 본 연구에서는 수소 플라즈마의 Fulcher-
${\alpha}$ 띠 스펙트럼 해석에 합성 스펙트럼법을 적용하여 분자의 회전 온도가 측정 가능한지 확인하고, 고성능의 모노크로메터를 이용한 온도 측정 결과와 서로 비교하였다. 그리고 분자의 진동 상태(vibrational state)가 분자 회전 온도 측정에 미치는 영향과 이에 따른 측정의 한계 등을 제시하였다. -
환형 전자 빔이 진행됨에 따라 표면파의 전단 유동에 의해 발생 및 진행되는 diocotron instability(다이오코트론 불안정성)를 안정시키기 위해, 나선형 자기장이 인가된 상황을 2차원 PIC 시뮬레이션을 통해 구현하고 그 효과에 대한 조사가 수행되었다. 나선형 자기장은 2차원 단면 상에서 회전하는 자기장으로 표현되었고, 이에 대해서 자기장이 회전하는 각도와 회전 주기, 회전 방향을 변수로 하여 그 효과를 나타내었다. 결과적으로, 자기장이 회전 하는 방향과 전자 빔이 회전하는 방향이 반대가 되었을 때, diocotron instability가 진정되는 효과를 얻었으며 이는 불안정성의 근원인 전단 유동을 완화시킴으로써 얻어진 결과임이 확인되었다. 이 때, 동반되는 밀도 분산 현상에 대해서도 고려가 되었고, 결론적으로 인가되는 나선형 자기장에 대하여 가장 적절한 조건에 대한 조사가 수행되었다.
-
기존 산업에서 많이 쓰여져오고 있는 저압 플라즈마에 비해 여러가지 장점을 지닌 대기압 플라즈마는 수년 전부터 많은 연구가 되어 왔으며 폭넓은 응용분야에 있어서 활발히 이용되고 있고, 특히 온도가 거의 상온과 비슷하다는 장점으로 대기압 저온 플라즈마는 바이오메디컬 분야에서 활발하게 응용되어지고 있다. 본 연구에서는 대기압 저온 플라즈마 젯 장치를 사용하여 치아 표면에 불소를 도포하고 법랑질 표면의 불소 원소를 검출함으로써 플라즈마가 치아표면 불소도포에 있어서 어떠한 효과가 있는지 정량적으로 비교분석하였다. 또한 대기압 플라즈마 젯 장치의 방전개시전압과 가스유량에 따른 플라즈마젯 길이의 변화 및 OES(Optical Emission Spectroscopy) 장치를 사용하여 플라즈마에 대한 광학적 진단을 진행하였다. 치아표면에서 검출된 불소량은 플라즈마를 사용했을때가 그렇지 않을때에 비해 더 높게 관찰 되었다.
-
The triggered vacuum switch (TVS) discharges high current through two processes. In the first process, an igniting plasma is generated at a trigger system, and the next process that a main discharge is taken place sequentially at a six-gap rod electrode within a few microsecond. In general, a triggered voltage producing the igniting plasma is increased. However, after several hundred shots, it goes down and stable, in our experiment the trigger voltage is about 5 kV after 250 shots. This triggered characteristics comes from the ceramic insulator which is covered by an electrode material, therefore we have focused on the first igniting plasma process. The igniting plasma has been generated at the surface of a ceramic insulator under a strong electric field. The electric field can be increased through modifying geometries of trigger components which compose of a trigger pin, a ceramic insulator and an enclosed holder. We fabricated not only two types of trigger pin which are a plane head and an umbrella head type, but two different holders which are a concave and a convex type. In this paper the result that the dependency of geometries for these four combined types is included, but the study of the ceramic insulator is not. The research of the ceramic insulator will be announced in the other paper.
-
본 연구에서는 Hole Transporting Layer(HTL)와 Electron Transporting Layer(ETL)의 두께에 의한 특성을 비교해보기 위해서 각각 0, 10, 20 nm로 HTL, ETL 두께를 달리한 형광 OLED소자를 제작하였다. ETL의 두께가 얇아질수록
$V_{TH}$ 값은 2.5V에서 0.9 V로 낮게 나타났고 소자의 전체 두께와 on voltage는 비례한다는 특성을 발견할 수 있었다. HTL과 ETL이 두꺼울수록 각 layer에서 carrier들의 이동에 delay가 생기고 emission layer에서 표면까지 거리가 생기기 때문이다. ETL의 두께가 두꺼울수록 높은 luminance 값을 나타내는 차이를 보여주고 있다. Hole에 비해 이동도가 작은 electron은 emission layer까지 늦게 전달되어, EML내에서 비교적 cathode쪽에 가까운 곳에서 exciton이 형성되기 때문이다. CE에도 더 두꺼운 ETL을 가진 소자가 더 높은 CE값 가짐을 확인할 수 있다. 모든 소자가$200mA/cm^2$ 에서 가장 높은 CE값을 나타낸 이유는$200mA/cm^2$ 에서 electron-hole 결합이 만들어내는 exciton형성이 가장 많기 때문이다. PE, QE도 ETL 두께가 두꺼울수록 특성을 향상이다. 결론적으로 ETL의 두꺼울수록 current density값이 감소함을 보이고 있는 반면 turn on voltage, luminance, efficiency 증가함을 볼 수 있다. -
본 연구에서는 Host와 Dopant
$Ir(ppy)_3$ 의 도핑 위치 변화에 따른 bottom emission 인광 OLED를 제작하여 발광 효율 및 특성을 분석하였다. 소자의 EML은$Ir(ppy)_3/CBP$ 와$CBP/Ir(ppy)_3$ 순으로 증착하여 제작하였다.$Ir(ppy)_3/CBP$ 은 낮은 구동 전압에서 큰 전류밀도와 큰 luminance을 측정하였고, 반대로$CBP/Ir(ppy)_3$ 은 높은 구동 전압에서$CBP/Ir(ppy)_3$ 은 큰 전류밀도와 큰 luminance가 측정되었다. 이는$Ir(ppy)_3/CBP$ 에서 HTL과 EML 사이에 hole direct injection이 발생으로 Hole이 증가하지만 charge balance 불일치로 roll-off가 발생하고,$CBP/Ir(ppy)_3$ 에서 electron direct injection에 의한 electron 증가로 charge balance가 향상된다. EL spectrum 측정에서$Ir(ppy)_3$ 은 파장 512nm 발광이 일어나고, CBP와 NPB은 각각 파장 380nm, 433nm로 분석된다. 각 물질의 triplet의 전달은 energy level이 큰 곳에서 작은 곳으로 전달되는데 이러한 이유로 전압에 따른 recombination zone 변화로 각 물질에서 나오는 파장의 intensity가 달라지는 것을 확인하였다.$Ir(ppy)_3/CBP$ 은 낮은 전류 밀도에서는 CBP의 영향으로 380nm 파장대가 크고, 높은 전류 밀도에서는$Ir(ppy)_3$ 의 영향으로 512nm 파장대가 크게 나오는 것을 확인했고,$CBP/Ir(ppy)_3$ 에서는 낮은 전류 밀도에서 512nm 파장대가 커지고, 큰 전류 밀도에서는 CBP에서 NPB로의 triplet 에너지 전달의 증가로 433nm 파장대가 커지는 것을 확인하였다. -
본 연구에서는 Host-Dopant system 기반 적색 인광 OLED의 Emitting layer(EML)에서 doping 위치에 따른 특성 변화를 분석하였다. EML은 host 물질로 60 nm 두께의 CBP를 사용하고, 적색 발광을 위해 10 %의
$Ir(btp)_2$ 를 CBP의 Front, Middle, Back side에 각각 20 nm씩 doping하였다. 본 구조의 적색 인광 OLED는 current density, luminance, efficiency, EL spectrum 등을 통해 전기적, 광학적 특성 변화를 확인하였다. Front, Back side에 doping으로 인한 CBP의 Energy level이 3.6 eV에서 1.9 eV로 감소하여 각각 HTL/EML, EML/HBL의 경계에 carrier direct injection이 활성화 되었고, 이로 인한 charge balance의 저하를 확인하였다. EL spectrum결과 각 소자는 CBP의 618 nm 파장 외에도, 추가적으로 TPBi의 398 nm, NPB의 456 nm의 파장을 보였다. 이를 통해 doping 위치에 따라 exciton이 형성되는 recombination zone이 이동하고 있음을 확인하였고, Front side는 6 V의 인가전압에서는 발광 파장이 398 nm에서 높은 값을 보이나 8 V, 10 V, 12 V에서 618 nm에서 높은 값을 보이는 것으로 인가전압에 의해 recombination zone이 HTL쪽으로 이동되는 것 또한 확인하였다. -
A new approach for antimicrobial is based on the overproduction of reactive nitrogen species (RNS), especially; nitric oxide (NO) and peroxinitrite (
$ONOO^-$ -) are important factors to deactivate the bacteria. Recently, non-thermal atmospheric pressure plasma jet (APPJ) has been frequently used in the field of microbial sterilization through the generation of different kinds of RNS/ROS species. However, in previous study we showed APPJ has combine effects ROS/RNS on bacterial sterilization. It is not still clear whether this bacterial killing effect has been done through ROS or RNS. We need to further investigate separate effect of ROS and RNS on bacterial sterilization. Hence, in this work, we have enhanced NO production, especially; by applying a 1% of HNO3 vapour to the N2 based APPJ. In comparison with nitrogen plasma with inclusion of water vapour plasma, it has been shown that nitrogen plasma with inclusion of 1% of HNO3 vapour has higher efficiency in killing the E. coli and different type of cancer cell through the high production of NO. We also investigate the enhancement of NO species both in atmosphere by emission spectrum and inside the solution by ultraviolet absorption spectroscopy. Moreover, qPCR analysis of oxidative stress mRNA shows higher gene expression. It is noted that 1% of HNO3 vapour plasma generates high amount of NO for killing bacteria and cancer cell killing. -
화학적 방법에 의한 NTE graphite의 박리 또는 전도도 개선을 위한 도핑공정을 수행할 경우, 결함 및 불순물 생성에 의해 재결정화 공정 및 순도 개선을 위한 별도의 공정을 필요로한다. 본 연구에서는 건식 방법으로써 10,000K 이상의 초고온 RF 열플라즈마를 이용하여 in-situ 방법으로 흑연의 박리, 결함 제거, 결정성 향상 및 도핑 공정을 수행하고, 도핑특성을 평가하였다. 질소 도핑을 위하여 암모니아 가스를 첨가하여 NTE graphite를 도핑 처리하였으며, 시뮬레이션을 통하여 반응기 내부의 온도분포를 파악하고, 도핑을 위한 암모니아가스가 분해되어 도핑공정이 수행될 수 있는 투입위치를 결정하였다. 질소 도핑율은 암모니아 가스의 주입위치에서의 온도 및 가스 주입 유량 등의 공정조건에 따라 변화됨을 확인하였고, XPS 분석결과 최대 14.87 atomic%의 도핑율의 결과를 얻었다.
-
Graphite의 순도, 결함, 결정층, 전기저항이 개선을 위하여, 10,000K 이상의 초고온 RF 열플라즈마 처리에 관한 연구를 수행하였다. 방전가스는 Ar을 사용하고, 특성 개선을 위하여 첨가가스로
$H_2$ ,$CH_4$ 을 첨가하여 흑연의 열플라즈마 처리에 의한 특성을 고찰하였다. Energy Dispersion Spectroscopy을 이용한 탄소 함량 분석 결과, 75wt% 저급 흑연에 함유된 유무기 불순물은 고온의 플라즈마에 의해 제거되어 99wt% 이상으로 순도가 개선되었고, XRD 및 Raman 분석으로부터 고온 열처리를 통한 탄소원자의 재배열로 흑연의$sp^2$ 결함이 감소되고, 결정성이 향상됨을 확인하였다. 또한 열플라즈마로 처리된 흑연입자에 대한 분체저항 측정 결과,$10^{-3}{\Omega}{\cdot}cm$ 에서$10{-4}{\Omega}{\cdot}cm$ 로 감소되었다. -
Shin, Dong Heon;Kim, Yoon Jeong;Kim, Sang Jin;Moon, Byung Joon;Oh, Yelin;Ahn, Seokhoon;Bae, Sukang 237.1
Electrical properties of graphene-based field effect transistors (G-FETs) can be degraded in ambient conditions owing to physisorbed oxygen or water molecules on the graphene surface. Passivation technique is one of a fascinating strategy for fabrication of G-FETs, which allows to sustain electrical properties of graphene in the long term without disrupting its inherent properties: transparency, flexibility and thinness. Ironically, despite its importance in producing high performance graphene devices, this method has been much less studied compared to patterning or device fabrication processes. Here we report a novel surface passivation method by using atomically thin self-assembled alkane layers such as C18- NH2, C18-Br and C36 to prevent unintentional doping effects that can suppress the degradation of electrical properties. In each passivated device, we observe a shift in charge neutral point to near zero gate voltage and it maintains the device performance for 1 year. In addition, the fabricated PG-FETs on a plastic substrate with ion-gel gate dielectrics exhibit not only mechanical flexibility but also long-term stability in ambient conditions. Therefore, we believe that these highly transparent and ultra-thin passivation layers can become a promising candidate in a wide range of graphene based electronic applications. -
A pure hafnium-carbide (HfC) coating layer was deposited onto carbon/carbon (C.C) composites using a vacuum plasma spray system. By adopting a SiC buffer layer, we successfully integrated C.C composites with a
$100-{\mu}m-thick$ protective coating layer of HfC. Compared to the conventional chemical vapor deposition process, the HfC coating process by VPS showed increased growth rate, thickness, and hardness. The growth behavior and morphology of HfC coatings were investigated by FE-SEM, EDX, and XRD. From these results, it was shown that the addition of a SiC intermediate layer provided optimal surface conditions during the VPS procedure to enhance adhesion between C.C and HfC (without delamination). The thermal ablation test results shows that the HfC coating layer perfectly protected inner C.C layer from thermal ablation and oxidation. Consequently, we expect that this ultra-high temperature ceramic coating method, and the subsequent microstructure that it creates, can be widely applied to improve the thermal shock and oxidation resistance of materials under ultra-high temperature environments. -
본 연구에서는 stretchable OLED를 구현하기 위해 배선 전극으로 사용 가능한 반투명 스트레처블 Ag 전극의 특성을 연구하였다. 스트레처블 Ag 전극은 Polydimethylsiloxane(PDMS) 기판을 사용하였으며, UV 처리를 통해 wavy패턴을 가지는 PDMS 기판을 제작하여 신축성을 향상시키고, 이를 일반 PDMS 기판과 비교하였다. 만들어진 두 종류의 PDMS 기판 위에 연성과 전성의 특성을 지닌 Ag를 sputtering방법을 이용하여 두께 변수로 제작하였고 전극의 전기적, 광학적, 표면적, 기계적 특성에 대한 평가를 진행하였다. 최적화된 반투명 스트레처블 Ag 전극은 가해진 strain에 따라 투과도가 변화하여 30%의 strain을 가한 상태에서 30%의 광투과율을 보였으며, 일반 PDMS기판을 적용한 전극보다 더 낮은 저항변화율을 나타냄을 알 수 있었다. 또한 다양한 신축성 테스트(Strain test, Hysteresis test, Dynamic fatigue test)와 Field Emission Scanning Electron Microscope(FE-SEM)분석법을 통해 wavy패턴이 있는 PDMS 기판을 적용한 Ag 전극이 일반 PDMS 기판을 적용한 Ag 전극보다 더 높은 신축성을 가지는 것을 확인하였다. 이를 통해 반투명 스트레처블 Ag 전극이 차세대 stretchable OLED용 배선전극으로 적용될 가능성을 확인하였다.
-
Numerous studies and approaches have been performed for solar cells to improve their photoelectric conversion efficiencies. Among them, the study for electrode containing transparent conducting oxide (TCO) layers is one of issues as well as for the cell structure based on band theory. In this study, we focused on an interfacial layer between p-type silicon and indium tin oxide (ITO) well-known as TCO materials. According to current-voltage characteristics for the sample with the interfacial layers, the improvement of band alignment between p-type silicon and ITO was observed, and their ohmic properties were enhanced in the proper condition of deposition. To investigate cause of this improvement, spectroscopic ellipsometry and ultraviolet photoelectron spectroscopy were utilized. Using these techniques, band alignment and defect in the band gap were examined. The major materials of the interfacial layer are vanadium oxide and tungsten oxide, which are notable as a hole transfer layer in the organic solar cells. Finally, the interfacial layer was applied to silicon solar cells to see the actual behavior of carriers in the solar cells. In the case of vanadium oxide, we found 10% of improvement of photoelectric conversion efficiencies, compared to solar cells without interfacial layers.
-
Recently, many groups have attempted to fabricate 3-dimensional (3D) structures of GaN such as pyramids, rods, stripes and annulars. Since quantum structures on non-polar and semi-polar planes of 3D structures have less influence of internal electric filed, multi quantum wells (MQWs) formed on those planes have high quantum efficiency. Especially, pyramidal and annular structures consist of various crystal planes with different emission wavelength, providing a possibillity of phosphor-free white light emtting diodes (WLEDs).[1] However, it still has problem to obtain high color rendering index (CRI) number because of narrow-band emission and poor indium composition caused by the formation of few number of facets during metal-organic chemical vapor deposition growth.[2] If we can fabricate 3D structure having more various facets, we can make broad-band emittied WLEDs and improve CRI number. In this study, we suggest a simple method to fabricate 3D structures having various facet and containing high indium composition by means of a combination of metal-organic chemical vapor deposition and wet chemical etching techniques.
-
Tungsten (W) is recently gaining attention as a potential candidate to replace Cu in semiconductor metallization due to its expected improvement in material reliability and reduced resistivity size effect. In this study, the impact of electron scattering at grain boundaries in a polycrystalline W thin film was investigated. Two nominally 300 nm-thick films, a (110)-oriented single crystal film and a (110)-textured polycrystalline W film, were prepared onto (11-20) Al2O3 substrate and thermally oxidized Si substrate, respectively in identical fabrication conditions. The lateral grain size for the polycrystalline film was determined to be
$119{\pm}7nm$ by TEM-based orientation mapping technique. The film thickness was chosen to significantly exceed the electron mean free path in W (16.1 and 77.7 nm at 293 and 4.2 K, respectively), which allows the impact of surface scattering on film resistivity to be negligible. Then, the difference in the resistivity of the two films can be attributed to grain boundary scattering. quantitative analyses were performed by employing the Mayadas-Shatzkes (MS) model, where the grain boundary reflection coefficient was determined to be$0.42{\pm}0.02$ and$0.40{\pm}0.02$ at 293 K and 4.2 K, respectively. -
Recently, the technology for flexible electronics such as flexible smart phone, foldable displays, and bendable battery is under active development. With approaching the real commercialization of flexible electronics, the electrical and mechanical reliability of flexible electronics have become significantly important because they will be used under various mechanical deformations such as bending, twisting, stretching, and so on. These mechanical deformations result in performance degradation of electronic devices due to several mechanical problems such as cracking, delamination, and fatigue. Therefore, the understanding of relationship between mechanical loading and electrical performance is one of the most critical issues in flexible electronics for expecting the lifetime of products. Here, we have investigated the effect of monotonic tensile and cyclic deformations on metal interconnect to provide a guideline for improving the reliability of flexible interconnect.
-
Crystallization of an Hydrogenated Amorphous Silicon (a-Si:H) Thin Film by Plasma Electron Annealing폴리 실리콘 박막은 저온 안정성, 산화 안정성, 가스 투과성 및 전기재료로서의 우수한 물성 때문에 산업에서 계속적으로 넓게 쓰이고 있다. 특히 최근 높은 색 재현율과 고화질로 각광을 받고 있는 능동형 유기발광 다이오드 (AMOLED)를 위한 Thin Film Transistor (TFT)는 신뢰성 및 우수한 특성이 요구되기 때문에 반드시 폴리실리콘 TFT가 적용되어야 한다. 이러한 이유 때문에 아모포스 실리콘을 폴리실리콘으로 결정화 시키는 방법들이 많이 연구 되어져왔다. 이 연구에서는 아모포스 실리콘 박막을 고품질의 폴리실리콘 박막으로 제조하기 위해, 기판에 positive DC 전압을 펄스 형태로 인가함으로써, 기판에 입사되는 전자를 이용한 열처리 방법을 사용하였다. 열처리 온도는 기판에 들어오는 current값을 조절함으로써 제어할 수 있었다. 열처리를 위해 사용 된 수소화 된 아모포스 실리콘은 Low Pressure Chemical Vapor Deposition (LPCVD)장비로 530도에서 증착 되었으며, 이러한 아모포스 실리콘 박막은 공정시간 60 s 이내에 샘플 표면온도가 600도 이상으로 증가함으로써 균일한 폴리실리콘 막으로 제조 되었다.
-
Low-cost, high efficiency solar cells are tremendous interests for the realization of a renewable and clean energy source. ZnTe based solar cells have a possibility of high efficiency with formation of an intermediated energy band structure by impurity doping. In this work, ZnO/ZnTe:Cr and ZnO/i-ZnTe structures were fabricated by pulsed laser deposition (PLD) technique. A pulsed (10 Hz) Nd:YAG laser operating at a wavelength of 266 nm was used to produce a plasma plume from an ablated a ZnTe target, whose density of laser energy was 10 J/cm2. The base pressure of the chamber was kept at approximately
$4{\ times}10-7Torr$ . ZnTe:Cr and i-ZnTe thin films with thickness of 210 nm were grown on p-Si substrate, respectively, and then ZnO thin films with thickness of 150 nm were grown on ZnTe:Cr layer under oxygen partial pressure of 3 mTorr. Growth temperature of all the films was set to$250^{\circ}C$ . For fabricating ZnO/i-ZnTe and ZnO/ZnTe:Cr solar cells, indium metal and Ti/Au grid patterns were deposited on back and front side of the solar cells by using thermal evaporator, respectively. From the fabricated ZnO/ZnTe:Cr and ZnO/i-ZnTe solar cell, dark currents were measured by using Keithley 2600. Solar cell parameters were obtained under Air Mass 1.5 Global solar simulator with an irradiation intensity of 100 mW/cm2, and then the photoelectric conversion efficiency values of ZnO/ZnTe:Cr and ZnO/i-ZnTe solar cells were measured at 1.5 % and 0.3 %, respectively. -
산업 발달에 따라 여러 유해 가스들의 양이 많아지고 그 종류가 다양해지고 있다. 이에 따라 가스센서의 필요성도 더욱 증가 하였고, 이러한 변화에 대응하기 위해 기존 가스 센서로 이용되던
$SnO_2$ 나 ZnO보다 더 나은 화학정 안정성과 내구성을 얻고자 2D$MoO_3$ 박막의 대면적 합성을 연구를 진행하였다. 기존$MoO_3$ 합성에 사용되던 Pyrolysis 방식이 아닌, 플라즈마 화학기상증착법(PECVD)을 이용해 공정과정을 단순화시켜 센서 수율 증대를 목표로 하였다. E-beam avaporator을 이용해 Mo 금속 박막을$SnO_2$ 기판 위에 증착시킨 후$O_2$ 플라즈마를 이용한 Implantation 방식으로 박막을 합성하였고, 라만 분광법, X-ray 광전자 분광법(XPS)을 통해$MoO_3$ 박막이 nm단위로 합성된 것을 확인하였다. 이를 바탕으로$MoO_3$ 박막을 2D 가스센서의 소재로 적용하는 것이 가능할 것이라고 예상된다. -
여러 application에 적용하기 위하여 p-type SnO 박막과 전극 간의 접촉 저항을 분석이 필요하였다. 이를 Transmission Line Method(TLM) 패턴 소자를 제작한 후 전기적 특성을 분석함으로써 알 수 있었다.
$Si/SiO_2$ 기판에 Reactive Magnetron Sputtering법을 이용하여 c축 우선 배향된 SnO를 100nm 증착하고 photolithography 공정을 통해 전극을 패턴화하여 100nm 두께로 증착하였다. 전극 간 거리는 1, 2, 4, 8, 16, 32, 64, 128, 256, 512,$1024{\mu}m$ 로 각각 2배씩 증가하는 패턴이고 폭 W는$300{\mu}m$ 이다. p-type SnO 의 경우, work function이 4.8eV이기 때문에 전극과 ohmic contact이 되기 위해서는 4.8eV보다 높은 work function 값을 가지는 전극이 필요하였다. 이 조건과 맞는 후보로 Ni(5.15eV), ITO(5.3eV)를 설정한 후 소자를 제작하였다. 제작된 소자는 열처리 하지 않은 소자와 Rapid Thermal Annealing(RTA) 장비에서$100^{\circ}C$ ,$200^{\circ}C$ ,$300^{\circ}C$ 에서 각각 1분씩 열처리한 소자의 특성을 분석하였다. 열처리 하지 않은 소자의 경우 Ni 전극의 specific contact resistance는$3.42E-2{\Omega}$ 의 값을 나타내었고, ITO의 경우$3.62E-2{\Omega}$ 값을 나타내었다. -
Oxide thin film transistors (TFTs) have attracted considerable interest for gate diver and pixel switching devices of the active matrix (AM) liquid crystal display (LCD) and organic light emitting diode (OLED) display because of their high field effect mobility, transparency in visible light region, and low temperature processing below
$300^{\circ}C$ . Recently, oxide TFTs with polycrystalline In-Ga-O(IGO) channel layer reported by Ebata. et. al. showed a amazing field effect mobility of$39.1cm^2/Vs$ . The reason having high field effect mobility of IGO TFTs is because$In_2O_3$ has a bixbyite structure in which linear chains of edge sharing InO6 octahedral are isotropic. In this work, we investigated the characteristics and the effects of oxygen partial pressure significantly changed the IGO thin-films and IGO TFTs transfer characteristics. IGO thin-film were fabricated by rf-magnetron sputtering with different oxygen partial pressure ($O_2/(Ar+O_2)$ ,$Po_2$ )ratios. IGO thin film Varies depending on the oxygen partial pressure of 0.1%, 1%, 3%, 5%, 10% have been some significant changes in the electrical characteristics. Also the IGO TFTs VTH value conspicuously shifted in the positive direction, from -8 to 11V as the$Po_2$ increased from 1% to 10%. At$Po_2$ was 5%, IGO TFTs showed a high drain current on/off ratio of${\sim}10^8$ , a field-effect mobility of$84cm^2/Vs$ , a threshold voltage of 1.5V, and a subthreshold slpe(SS) of 0.2V/decade from log(IDS) vs VGS. -
최근 태양전지에 대한 연구가 활발하기 이루어지고 있다. 그 중 본 연구에선 태양전지에 사용될 광흡수층에 대한 연구로 광흡수층은 광흡수계수와 밴드갭의 영향을 받고 SnS가 적합한 특성을 지니고 있다고 판단하여 이에 대한 합성과 특성에 대한 연구를 진행 하였다. SnxSy 박막은 Electrochemical deposition, Spray pyrolysis deposition, Furnace를 이용하는 등 다양한 방법이 있다. 이러한 방법들은 대부분 막질이 좋지 않다고 알려져 있는데 그 중 Furnace를 이용하는 방법은 간단하며 넓은 면적에 쉽게 증착이 가능하다는 장점이 있지만 S의 양과 온도에 민감하다. 본 연구에서는 Sn과 SnO 박막을 전구체로 사용하였으며 S의 양과 온도를 조절하여 로를 이용하여 합성하였다. 이에 대한 조성 및 구조적 특성을 분석하기 위해 XRD를 전기적 특성을 확인하기 위하여 Hall effect measurement를 통하여 측정하였다.
-
최근 디스플레이 산업의 확대에 따라 투명 전도 산화물(Transparent Conducting Oxides:TCOs)의 수요가 급증하고 있다. 이 중 ZnO는 wide bandgap (3.37eV)와 large exciton binding energy (60meV)의 값을 가져 차세대 투명 전도 산화물, LED와 LD 등의 소자 소재로 각광받고 있다. ZnO는 electron을 내어놓는 native defect 때문에 기본적으로 n-type 물성을 띈다. 그래서 dopant를 이용해 p-type ZnO를 제작할 때 native defect를 줄이는 것이 중요한 요점이 된다. 이 때 buffer layer를 사용하여 native defect를 줄이는 방법이 사용되고 있다. 본연구에서는 RF-magnetron sputtering법을 이용하여 c-plane sapphire 기판 위에 다양한 조건의 ZnO buffer layer를 증착하고, 그 위에 ZnO:(Al,P) co-doping한 APZO를 증착하였다. ZnO buffer layer 증착조건의 변수는 증착온도와 Ar:O2의 비율을 변수로 두었다. 이러한 박막을 FE-SEM, XRD, Hall effect measurement, AFM을 통하여 미세구조와 물성을 관찰하였다. 이 때 APZO보다 낮은 증착온도에서 ZnO buffer layer가 증착되면 APZO를 증착하는 동안 chamber 내부에서 열처리하는 효과를 얻게 되고, UHV(Ultra High Vaccum)에서 열처리 될 때 ZnO buffer layer의 mophology와 결정성이 변하게 되는 모습을 관찰아혔다. 또한 본 실험을 통해 ZnO buffer layer의 증착 온도가 APZO의 증착온도보다 높을 때 제어 가능한 실험이 됨을 확인 할 수 있었다.
-
본 연구에서는 nematic 액정의 종류 중 하나인 5CB (4-Cyano-4'-pentylbiphenyl) 물질을 박막 트랜지스터 (TFT)의 passivation 층으로 사용했을 때 그 전기적 특성향상을 확인하였다. RF-magnetron sputtering법으로 증착된 비정질 InGaZnO 박막을 활성층으로 사용한 TFT를 제작하여 그 활성층 위에 drop형식으로 passivation 하였다. 그 결과, drain current (I_DS)가 약 10배 정도 증가하고, linear region(V_D=0.5V)에서 mobility와 subthreshold slope(SS)이 각각 6.7에서 12.2, 0.3에서 0.2로 향상되는 것이 보였다. 이것은 gate bias가 인가되었을 때 freedericksz 전이를 통한 액정의 배향과 이때 형성된 dipole 형성에 의한 것으로 보이며, 이러한 LC의 배향은 편광현미경을 통하여 표면과 수직으로 배향한다는 사실을 확인 할 수 있었고 이 LC-passivation된 a-IGZO TFT의 전기적 특성의 향상에 대한 mechanism을 제시하였다. 그리고 배향한 LC가 가지는 dipole에 의해 bias stress 상황에서 독특한 electron trapping과 recovery의 증폭효과가 나타났다. V_G=+20V의 positive gate bias stress를 1000s동안 가했을 때, passivation되지 않은 a-IGZO TFT의 경우 +4V의 threshold voltage shift(
${\Delta}V$ _TH)가 발생되었고, 바로 -20V의 negative gate bias를 30s간 가해주었을 때 -2.5V의${\Delta}V$ _TH가 발생하였다. 반면 LC-passivation된 a-IGZO TFT의 경우 각각 +5V와 -4V의${\Delta}V$ _TH로 더 큰 변화를 가져왔다. 이러한 LC에 의한 electron trapping/recovery 증폭효과에 대한 model을 제시하였다. -
Semiconductor photo-catalysis offers the potential for complete removal of toxic chemicals through its effective and broad potential applications. Various new compounds and materials for chemical catalysts were synthesized in the past few decades. As one of the most important II-VI group semiconductors, zinc sulfide (ZnS) with a wide direct band gap of 3.8 eV has been extensively investigated and used as a catalyst in photochemistry, environmental protection and in optoelectronic devices. In this work, the ZnS films and nanostructures have been successfully prepared by wet chemical method. We show that the agglomerates with four successive scales are always observed in the case of the homogeneous precipitation of zinc sulfide. Hydrodynamics plays a crucial role to determine the size of the largest agglomerates; however, other factors should be invoked to interpret the complete structure. In addition, studies of the photocatalytic properties by exposure to UV light irradiation demonstrated that ZnS nanocrystals (NCs) are good photo-catalysts as a result of the rapid generation of electron-hole pairs by photo-excitation and the highly negative reduction potentials of excited electrons. A combination of their unique features of high surface-to volume ratios, carrier dynamics and rich photo-catalytic suggests that these ZnS NCs will find many interesting applications in semiconductor photo-catalysis, solar cells, environmental remediation, and nano-devices.
-
In a three-dimensional topological insulator Bi2Se3, a stress control for band gap manipulation was predicted but no systematic investigation has been performed yet due to the requirement of large external stress. We report herein on the strain-dependent results for Bi2Se3 films of various thicknesses that are grown via a self-organized ordering process. Using small angle X-ray scattering and Raman spectroscopy, the changes of d-spacings in the crystal structure and phonon vibration shifts resulted from stress are clearly observed when the film thickness is below ten quintuple layers. From the UV photoemission/inverse photoemission spectroscopy (UPS/IPES) results and ab initio calculations, significant changes of the Fermi level and band gap were observed. The deformed band structure also exhibits a Van Hove singularity at specific energies in the UV absorption experiment and ab initio calculations. Our results, including the synthesis of a strained ultrathin topological insulator, suggest a new direction for electronic and spintronic applications for the future.
-
Copper-Tin (CuSn) thin films were synthsized by rf magnetron co-sputtering method with pure Cu and Sn metal targets with various rf powers and sputtering times. The obtained CuSn thin films were characterized by a surface profiler (alpha step), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), X-ray induced Auger electron spectroscopy (XAES), and contact angle measurement. The deposition rates were calculated by the thickness of CuSn thin films and sputtering times. We observed hexagonal Cu20Sn6 and cubic Cu39Sn11 phases from the films by XRD measurement. From the survey XPS spectra, the Cu and Sn main peaks were observed. Therefore, we could conclude CuSn thin films were successfully fabricated on the substrate in this study. The changes of oxidation states and chemical environment of the films were investigated with high resolution XPS spectra in the regions of Cu 2p, Cu LMM, and Sn 3d. Surface free energy (SFE) and wettability of the CuSn thin films were studied with distilled water (DW) and ethylene glycol (EG) using the contact angle measurement. The total SFE of CuSn thin films decreased as rf power on Cu target increased. The contribution to the total SFE of dispersive SFE was relatively superior to polar SFE.
-
Graphene exhibits a number of unique properties that make it an intriguing candidate for use in sensor. Here, we report graphene-based gas sensor. Graphene was grown using CVD. Then, the sensor was made using standard lithography techniques. The sensor conductance increased upon exposure to NH3, whereas it decreased upon NO2, suggesting that NH3 and NO2 might be discriminated using the graphene-based sensor. To improve the sensitivity, graphene was treated with hydrogen plasma. After hydrogen treatment, the electrical properties of graphene changed from ambipolar to p-type semiconductors. In addition, the sensor performance was improved probably due to an opening of bandgap.
-
전기적인 장치를 필요로 하는 분야의 빠른 발전에 따라 그 기본이 되는 에너지 저장소자에 관한 연구가 많은 관심을 불러일으키고 있다. 특히, 다양한 에너지 저장 소자 중 기존의 배터리 보다 높은 에너지 밀도와 빠른 충전/방전 속도, 그리고 상대적으로 긴 수명을 가진 슈퍼커패시터에 관한 연구가 많이 이루어 지고 있다. 나노구조를 가진 슈도용량성 물질을 전극에 합성시키는 방법은 크게 두 가지로 나눌 수 있는데 수열합성법이나 전기화학적증착 방법 같이 인위적인 바인더를 사용하지 않고 직접 전극 표면에 합성시키는 방법이 있고, copecipitation이나 졸겔 방법으로 나노구조를 합성한 후 인위적인 바인더를 사용하여 전극 표면에 합성 시키는 방법이 있다. 본 연구에서는 짧은 시간에 물질을 합성시킬 수 있고 인위적인 바인더를 사용하지 않아 더욱 뛰어난 전기적인 특성을 보이는 전기화학적증착 방법을 이용하여 spherically shaped CuO를 전도성 직물에 직접 합성시켜 전기적인 특성을 연구하였다. 유연한 전도성 직물에 합성된 spherically shaped CuO 는 뛰어난 전기화학적 가역성, 상대적으로 높은 비정전용량, 그리고 많은 사이클 테스트에서도 높은 안정성을 보였다. 이처럼 손쉬운 방법으로 유연한 전도성 직물에 합성된 metal oxide 나노구조는 슈퍼커패시터 뿐만 아니라 염료감응형 태양전지, 다양한 종류의 센서 등 많은 분야에서 활용될 것으로 기대된다.
-
반전형 폴리머 태양전지는 그 구조에 의하여 훌륭한 안정성을 가질 뿐만 아니라 roll-to-roll 공정을 통한 대량생산이 가능하여 각광받고 있는 구조이다. 이런 반전형 구조에서, 금속 산화물 나노파티클에 의해 만들어지는 금속 산화물 층은 전자수송층으로서 사용된다. 이 연구에서는 표면개질 물질인 PEIE (Polyethyleneimine-ethoxylate)와 화학적으로 기능화된 산화아연/그래핀 핵/껍질 양자점을 이용하여 전기수송층의 역할을 하는 기능화된 산화아연/그래핀 단분자층을 가지는 태양전지를 제작하였다. 이는 기능화된 산화아연/그래핀 단분자층이 표면개질, 광센서, 전기수송층의 역할을 동시에 수행하는 효과로 인해 제작된 태양전지는 향상된 전자 수집능력을 보였다. 단분자층이 잘 형성되어 있는지 확인하기 위하여 집속 이온 빔 장비를 이용하여 태양전지의 내부 구조를 확인하였으며, density functional theory (DFT)을 이용한 모델링을 통하여 기능화된 산화아연/그래핀 양자점의 전자상태밀도를 분석하였다. 기능화된 산화아연 단분자층에 의한 효과적인 계면 제어 및 전하수송에 의해 약 10.3%의 높은 효율을 가지는 반전형 폴리머 태양전지를 제작할 수 있었다.
-
본 연구에서는 pilot급 대면적 roll-to-roll 스퍼터를 이용하여 상온에서 제작한 ITO/Cu/ITO 다층 투명 전극의 유연 투명 히터 적용 가능성과 투명 전극의 면저항이 히터의 input 전압에 미치는 영향을 연구하였다. 상부/하부 ITO 두께를 35 nm로 고정하고 Cu interlayer의 두께를 변수(4 nm~ 12 nm)로 하여 제작한 ITO/Cu/ITO 다층 투명 전극의 전기적, 광학적, 구조적, 표면 특성을 분석하고 삽입된 Cu의 역할을 연구하였다. Cu 두께의 증가에 따라 면저항은 25.4 Ohm/square에서 5.80 Ohm/square로 급격히 감소하나 투과도 역시 75.51%에서 62.62%로 감소하였다. 유연 투명 히터에 적용하기 위해 최적화된 ITO/Cu/ITO 다층 박막의 유연성을 다양한 밴딩 테스트를 통해 분석하였으며, 10,000번의 반복 굽힘 시험에도 저항의 변화가 없음을 관찰 할 수 있었다. 이러한 저저항, 고투과, 고유연 ITO/Cu/ITO 다층 투명 전극을 이용하여 유연 투명 히터를 제작하였으며, Cu interlayer의 두께에 따른 유연 투명 히터의 발열 특성을 평가하였다. 유연 투명 히터의 온도를 100도에 이르게 하기 위한 Saturation input voltage는 투명 전극의 면저항에 가장 크게 영향을 받았고, 면저항이 낮아질수록 더 낮은 saturation input voltage에서 100도에 도달함을 알 수 있었다. Cu interlayer의 두께가 12 nm 일 때에는 6V의 input voltage로도 유연 투명 히터의 온도가 100도에 도달함 알 수 있었다. 이를 통해 roll-to-roll 스퍼터로 제작된 대면적 ITO/Cu/ITO 다층 투명 전극이 차세대 유연 투명 히터용 투명 전극으로 적용 가능성이 매우 높음을 확인하였다.
-
본 연구에서는 차세대 유연 투명 히터 (Flexible and transparent heater) 제작을 위한 ATO 나노입자-은 네트워크 하이브리드 투명 전극의 특성을 연구하였다. 최적화된 은 네트워크 (Self-assembled Ag network) 투명 전극 상에 20-30 nm의 직경을 가지는 ATO (Sb-doped
$SnO_2$ ) 나노입자를 스프레이 방식으로 상압, 상온에서 코팅하여 인쇄형 ATO-은 네트워크 하이브리드 투명 전극을 구현하였다. 스프레이로 코팅된 투명 ATO 나노 입자는 은 네트워크 전극의 빈 공간을 매워 줌으로써 은 네트워크 간의 연결성 및 표면 조도를 낮춰주어 유연 투명 히터 작동 시 전류의 집중 현상을 막아줄 수 있다. ATO-은 네트워크 하이브리드 투명 전극의 최적화를 위해 스프레이 횟수에 따른 하이브리드 투명 전극의 전기적, 광학적, 표면 특성을 분석하였으며, 최적의 조건에서 14 Ohm/square의 면저항과 66%의 투과도를 가지는 하이브리드 투명 전극을 구현하였다. 또한 FESEM 분석을 통해 ATO-은 네트워크 하이브리드 전극의 표면 및 계면 구조를 연구하고 ATO 코팅이 은 네트워크 전극의 특성에 미치는 영향을 규명하였다. 최적화된 ATO-은 네트워크 하이브리드 투명 전극을 이용하여 유연 투명 히터를 제작하고 전압에 따른 히터의 온도의 변화를 측정하여 차세대 유연 투명 히터용 투명 전극으로 인쇄기반 ATO-은 네트워크 하이브리드 투명 전극의 가능성을 확인하였다. -
Dye-sensitized solar cells (DSCs) are promising candidates for light-to-energy conversion devices due to their low-cost, easy fabrication and relative high conversion efficiency. An important component of DSCs is counter electrode (CE) collect electrons from external circuit and reduct I3- to I-. The conventional CEs are thermally decomposed Pt on fluorine-doped tin oxide (FTO) glass substrates, which have shown excellent performance and stability. However, Pt is not suitable in terms of cost effect. In this report, we demonstrated that nickel sulfide thin films by atomic layer deposition (ALD)-using Nickel(1-dimethylamino-2-methyl-2-butanolate)2 and hydrogen sulfide at low temperatures of
$90-200^{\circ}C$ -could be good CEs in DSCs. Notably, ALD allows the thin films to grow with good reproducibility, precise thickness control and excellent conformality at the angstrom or monolayer level. The nickel sulfide films were characterized using X-ray photoelectron spectroscopy, scanning electron microscopy, X-ray diffraction, hall measurements and cyclic voltammetry. The ALD grown nickel sulfide thin films showed high catalytic activity for the reduction of I3- to I- in DSC. The DSCs with the ALD-grown nickel sulfide thin films as CEs showed the solar cell efficiency of 7.12% which is comparable to that of the DSC with conventional Pt coated counter electrode (7.63%). -
We report on synthesis of large-area MoS2 using chemical vapor deposition (CVD). Relatively uniform MoS2 are obtained. To fabricate field-effect transistor (FET) devices, MoS2 films are transferred to another SiO2/Si substrate using polystyrene (PS) and patterned using oxygen plasma. In addition, to reduce contact resistance, synthesis of graphene used as channel. Device characteristics are presented and compared with the reported results.
-
현재 화합물 반도체 나노구조는 광학적, 전기적 특성을 기반으로 하는 단전자 트랜지스터, 적외선 검출기, 레이저, 태양전지와 같은 분야에 응용하기 위한 많은 연구가 진행되고 있다. 특히 양자점은 3차원으로 구속되어 있는 상태 밀도를 갖고 있어 레이저 응용 시 낮은 문턱 전류 밀도, 높은 이득, 높은 열적 안정성을 기대되고 있지만 양자점의 운반자 수집과 열적 안정성의 한계가 여전히 존재한다. 이러한 문제를 해결하기 위해 다양한 방법이 연구되고 있으며, 그 중 단층 양자점에 비해 운반자 수집과 열적 안정성이 뛰어난 다층 양자점이 결합된 구조에 대한 연구가 활발히 이루어지고, 다층으로 성장된 양자점 구조는 양자점의 크기 분포 조절이 용이하고 양자점 층간의 전기적 결합력이 강한 특성이 있다. 본 연구에서는 분자 선속 에피 성장법(Molecular Beam Epitaxy; MBE)과 원자 층 교대 성장법(Atomic Layer Epitaxy; ALE)으로 CdTe/ZnTe 다층 양자점을 ZnTe 장벽층의 두께를 변화하면서 성장 후 광학적 특성을 연구하였다. 저온 광루미네센스 측정(Photoluminescence; PL)을 통하여 ZnTe 장벽층 두께가 증가할수록 양자점의 PL 피크가 높은 에너지로 이동함을 알 수 있었는데, 이는 ZnTe 장벽층의 두께가 증가할수록 양자점 층간의 결합력이 감소하면서 양자점의 크기가 작아졌기 때문이다. 그리고 ZnTe 장벽층의 두께가 증가할수록 PL 세기가 커지는 것을 알 수 있었는데, 이는 ZnTe 장벽층의 두께가 증가할수록 더 많은 운반자가 양자점으로 구속되기 때문이다. 또한 온도 의존 광루미네센스 측정 결과 ZnTe 장벽층의 두께가 증가할수록 열적 활성화 에너지가 커지는 것을 관찰하였고, 시분해 광루미네센스 측정을 통해 ZnTe 장벽층의 두께에 따른 운반자 동역학에 대해 연구하였다. 이와 같은 결과 CdTe/ZnTe 다층 양자점 구조에서 장벽층의 두께에 따른 광학적 특성에 대해 이해 할 수 있었다.
-
박막 트랜지스터 (thin film transistor, TFT)는 고밀도, 대면적화로 높은 전자의 이동도가 요구되면서, 비정질 실리콘 (a-Si)에서 다결정 실리콘 (poly-Si) TFT 로 연구되었다. 이에 따라 비정질 실리콘에서 결정질 실리콘으로의 상변화에 대한 결정화 연구가 활발히 진행되었다. 또한, 박막 태양전지 분야에서도 유리기판 위에 비정질 층을 증착한 후에 열처리를 통해 상변화하는 고상 결정화 (solid-phase crystallization, SPC) 기술을 적용하여, CSG (thin-film crystalline silicon on glass) 태양전지를 보고하였다. 이러한 비정질 실리콘 층의 결정화 기술을 결정질 실리콘 태양전지 에미터 형성 공정에 적용하고자 한다. 이 때, 플라즈마화학증착 (Plasma-enhanced chemical vapor deposition, PECVD) 장비로 증착된 비정질 실리콘 층의 열처리를 통한 결정화 정도가 중요한 요소이다. 따라서, 비정질 실리콘 층의 결정화에 영향을 주는 인자에 대해 연구하였다. 비정질 실리콘 증착 조건(H2 가스 비율, 도펀트 유무), 실리콘 기판의 결정방향, 열처리 온도에 따른 결정화 정도를 엘립소미터(elipsometer), 투과전자현미경 (transmission electron microscope, TEM), 적외선 분광기 (Fourier Transform Infrared, FT-IR) 측정을 통하여 비교 하였다. 이를 기반으로 결정화 온도에 따른 비정질 실리콘의 결정화를 위한 활성화 에너지를 계산하였다. 비정질 실리콘 증착 조건 보다 기판의 결정방향이 결정화 정도에 크게 영향을 미치는 것으로 확인하였다.
-
InGaN/GaN multiple quantum wells (MQWs) have been attracted much attention as light-emitting diodes (LEDs) in the visible and UV regions. Particularly, quantum efficiency of green LEDs is decreased dramatically as approaching to the green wavelength (~500 nm). This low efficiency has been explained by quantum confined Stark effect (QCSE) induced by piezoelectric field caused from a large lattice mismatch between InGaN and GaN. To improve the quantum efficiency of green LED, several ways including epitaxial lateral overgrowth that reduces differences of lattice constant between GaN and sapphire substrates, and non-polar method that uses non- or semi-polar substrates to reduce QCSE were proposed. In this study, graded short-period InGaN/GaN superlattice (GSL) was grown below the 5-period InGaN/GaN MQWs. InGaN/GaN MQWs were grown on the patterned sapphire substrates by vertical-metal-organic chemical-vapor deposition system. Five-period InGaN/GaN MQWs without GSL structure (C-LED) were also grown to compare with an InGaN/GaN GSL sample. The luminescence properties of green InGaN/GaN LEDs have been investigated by using photoluminescence (PL) and time-resolved PL (TRPL) measurements. The PL intensities of the GSL sample measured at 10 and 300 K increase about 1.2 and 2 times, respectively, compared to those of the C-LED sample. Furthermore, the PL decay of the GSL sample measured at 10 and 300 K becomes faster and slower than that of the C-LED sample, respectively. By inserting the GSL structures, the difference of lattice constant between GaN and sapphire substrates is reduced, resulting that the overlap between electron and hole wave functions is increased due to the reduced piezoelectric field and the reduction in dislocation density. As a results, the GSL sample exhibits the increased PL intensity and faster PL decay compared with those for the C-LED sample. These PL and TRPL results indicate that the green emission of InGaN/GaN LEDs can be improved by inserting the GSL structures.
-
Generally InGaN/GaN green light emitting diode (LED) exhibits the low quantum efficiency (QE) due to the large lattice mismatch between InGaN and GaN. The QE of InGaN-based multiple quantum wells (MQWs) is drastically decreased when an emission wavelength shifts from blue to green wavelength, so called "green gap". The "green gap" has been explained by quantum confined Stark effect (QCSE) caused by a large lattice mismatch. In order to improve the QE of green LED, undoped graded short-period InGaN/GaN superlattice (GSL) and Si-doped GSL (SiGSL) structures below the 5-period InGaN/GaN MQWs were grown on the patterned sapphire substrates. The luminescence properties of InGaN/GaN green LEDs have been investigated by using photoluminescence (PL) and time-resolved PL (TRPL) measurements. The PL intensity of SiGSL sample measured at 10 K shows stronger about 1.3 times compared to that of undoped GSL sample, and the PL peak wavelength at 10 K appears at 532 and 525 nm for SiGSL and undoped GSL, respectively. Furthermore, the PL decay of SiGSL measured at 10 K becomes faster than that of undoped GSL. The faster decay for SiGSL is attributed to the increased wavefunction overlap between electron and hole due to the screening of piezoelectric field by doped carriers. These PL and TRPL results indicate that the QE of InGaN/GaN green LED with GSL structure can be improved by Si-doping.
-
The graphene, a single atomic sheet of graphite, has attracted tremendous interest owing to its novel properties including high intrinsic mobility, optical transparency and flexibility. However, for more diverse application of graphene devices, it is essential to tune its transport behavior by shifting Dirac Point (DP) of graphene. So, in the following context, we suggest a method to tune structural and electronic properties of graphene using atomic layer deposition. By atomic layer deposition of zinc oxide (ZnO) on graphene using 4-mercaptophenol as linker, we can fabricate n-doped graphene. Through
${\pi}-{\pi}$ stacking between chemically inert graphene and 4-mercaptophenol, conformal deposition of ZnO on graphene was enabled. The electron mobility of graphene TFT increased more than 3 times without considerably decreasing the hole mobility, compared to the pristine graphene. Also, it has high air stability. This ZnO doping method by atomic layer deposition can be applicable to large scale array of CVD graphene TFT. -
Jeong, Jaehun;Choi, Yoon Ho;Park, Dambi;Cho, Leo;Lim, Dong-Hyeok;An, Youngseo;Yi, Sum-Gyun;Kim, Hyoungsub;Yoo, Kyung-Hwa;Cho, Mann?Ho 281.1
Transition metal dichalcogenides (TMDCs) are promising layered structure materials for next-generation nano electronic devices. Many investigation on the FET device using TMDCs channel material have been performed with some integrated approach. To use TMDCs for channel material of top-gate thin film transistor(TFT), the study on high-k dielectrics on TMDCs is necessary. However, uniform growth of atomic-layer-deposited high-k dielectric film on TMDCs is difficult, owing to the lack of dangling bonds and functional groups on TMDC's basal plane. We demonstrate the effect of remote oxygen plasma pretreatment of large area synthesized few-layer MoSe2 on the growth behavior of Al2O3, which were formed by atomic layer deposition (ALD) using tri-methylaluminum (TMA) metal precursors with water oxidant. We investigated uniformity of Al2O3 by Atomic force microscopy (AFM) and Scanning electron microscopy (SEM). Raman features of MoSe2 with remote plasma pretreatment time were obtained to confirm physical plasma damage. In addition, X-ray photoelectron spectroscopy (XPS) was measured to investigate the reaction between MoSe2 and oxygen atom after the remote O2 plasma pretreatment. Finally, we have uniform Al2O3 thin film on the MoSe2 by remote O2 plasma pretreatment before ALD. This study can provide interfacial engineering process to decrease the leakage current and to improve mobility of top-gate TFT much higher. -
Two-dimensional van der Waals (2D vdWs) materials have been extensively studied for future electronics and materials sciences due to their unique properties. Among them, black phosphorous (BP) has shown infinite potential for various device applications because of its high mobility and direct narrow band gap (~0.3 eV). In this work, we demonstrate a few-nm thick BP-based nonvolatile memory devices with an well-known poly(vinylidenefluoride-trifluoroethylene) [P(VDF-TrFE)] ferroelectric polymer gate insulator. Our BP ferroelectric memory devices show the highest linear mobility value of
$1159cm^2/Vs$ with a$10^3$ on/off current ratio in our knowledge. Moreover, we successfully fabricate the ferroelectric complementary metal-oxide-semiconductor (CMOS) memory inverter circuits, combined with an n-type$MoS_2$ nanosheet transistor. Our memory CMOS inverter circuits show clear memory properties with a high output voltage memory efficiency of 95%. We thus conclude that the results of our ferroelectric memory devices exhibit promising perspectives for the future of 2D nanoelectronics and material science. More and advanced details will be discussed in the meeting. -
The remarkable physical properties of two-dimensional (2D) semiconducting materials such as molybdenum disulfide (
$MoS_2$ ) and tungsten disulfide ($WS_2$ ) etc. have attracted considerable attentions for future high-performance electronic and optoelectronic devices. The ongoing studies of$MoS_2$ based nonvolatile memories have been demonstrated by worldwide researchers. The opening hysteresis in transfer characteristics have been revealed by different charge confining layer, for instance, few-layer graphene,$MoS_2$ , metallic nanocrystal, hafnium oxide, and guanine. However, limited works built their nonvolatile memories using entirely of assembled 2D crystals. This is important in aspect view of large-scale manufacture and vertical integration for future memory device engineering. We report$WS_2$ based nonvolatile memories utilizing functional van der Waals heterostructure in which multi-layered graphene is encapsulated between$SiO_2$ and hexagonal boron nitride (hBN). We experimentally observed that, large memory window (20 V) allows to reveal high on-/off-state ratio (>$10^3$ ). Moreover, the devices manifest perfect retention of 13% charge loss after 10 years due to large graphene/hBN barrier height. Interestingly, the performance of our memories is drastically better than ever published work related to$MoS_2$ and black phosphorus flash memory technology. -
중적외선 물질에는 Ge, ZnS, ZnSe, Si 등이 있으나 고굴절율이므로 반사가 매우 크게 발생을 한다. 이를 줄이기 위해 다층 박막 무반사 코팅을 일반적으로 사용하지만 열에 취약함, 적합한 물질을 찾는 것이 매우 어려움, 다층 박막으로 제작 시 두께가 매우 두꺼워짐의 단점이 있다. 또한 Ge, ZnS, ZnSe 의 소재는 가격이 Silicon에 비해 매우 비싸다. 그러므로 RCWA(Rigorous Coupled Wavelength Analysis) 시뮬레이션을 이용하여 상대적으로 저렴한 소재임에도 고투과성을 지닌 중적외선용 무반사 실리콘 서브파장구조(Subwavelength Structures, SWSs)를 제안한다. 본 연구에서는 원기둥, 원뿔, 파라볼라, 잘린 원뿔(truncated cone) 등의 형태에 따른 투과율 특성을 파악하여 최적구조가 파라볼라 형태임을 증명하였다. 또한 서브파장구조의 주기, 높이의 특성을 조절하여 공정 시의 종횡비(Aspect ratio)를 고려한 최적형태를 제안하였다. 중적외선 영역(
$3{\mu}m{\sim}5{\mu}m$ )에서 일반 Silicon의 적외선 영역에서 평균 55%의 낮은 투과율을 보이나, 양면에 무반사 구조를 설계 하였을 때 평균 94%의 높은 투과율을 확인할 수 있다. 다양한 형태를 가진 무반사 실리콘 서브파장 구조물을 RCWA 방식으로 계산함으로서 특성을 파악하며 최적구조를 설계 할 수 있다. 또한 단면에 비하여 양면으로 SWSs 구조를 제작할 시 매우 두드러지는 투과특성을 확인할 수 있다. 고굴절율이지만 뛰어난 투과특성을 이용하여 초소형 적외선 카메라 렌즈 뿐만 아니라 적외선 광검출기, 광학 필터 등에 이용 가능할 것으로 예상된다. -
Tin Oxide(SnO2) has been widely investigated as a transparent conducting oxide (TCO) and can be used in optoelectronic devices such as solar cell and flat-panel displays. It would be applicable to fabricating the wide bandgap semiconductor because of its bandgap of 3.6 eV. In addition, SnO2 is commonly used as gas sensors. To fabricate high quality epitaxial SnO2 thin films, a powder sputtering method was used, in contrast to typical sputtering technique with sintered target. Single crystalline sapphire(0001) substrates were used. The samples were prepared with varying the growth parameters such as gas environment and film thickness. Then, the samples were characterized by using X-ray diffraction, scanning electron microscopy, and atomic force microscopy measurements. We found that the strain evolution of the samples was highly affected by gas environment and growth rate, resulted in the delamination under O2 environment.
-
최근 ZnO는 무독성, 저가격, 수소 플라즈마에 대한 내구성 및 열적 안정성 등의 활발히 연구되고 있으며, III족 원소(Al, Ga, In) 불순물을 도핑하여 전기적 성질의 열적 불안정성을 해결하고 전기적 성질을 향상 시키고 또한 밴드갭 에너지가 3.3 eV 이상으로 증가하여 가시광선 영역에서 광투과율이 높은 투명도 전성 재료를 제공할 수 있다. 본 연구에서는 RF Magnetron Sputtering을 이용하여 내열성과 광학적 측면에서 우수한 성능을 가지는 PES 기판에 표면 에너지를 높이고 치밀한 구조의 박막을 증착하기 위해서
$O_2$ 플라즈마 처리를 하여 ZnO계 투명 전도막을 제작함으로써 투명전극에서 요구하는$10^{-3}{\Omega}{\cdot}cm$ 이하의 낮은 비저항과 80% 이상의 광투과율을 가지는 방안에 대하여 연구하였다. PES 기판 위에 고밀도$O_2$ 플라즈마를 이용하여 전 처리를 실시한 후 4인치의 Al-doped ZnO(ZnO 98 wt% :$Al_2O_3$ 2 wt%), AZO의 타겟을 이용하여 상온에서 RF Magnetron Sputtering 법으로 AZO 박막을 증착하였다. PES 기판상의 AZO 박막 두께가(100~400nm) 증가함에 따라 캐리어 농도와 홀 이동도가 점차 증가하는 경향을 보였다. 이는 박막 두께가 증가할수록 면저항과 비저항은 감소하며 결정립 크기가 커지고 결정입계에서 산란이 줄어들기 때문에 전기적 특성이 개선된 것으로 판단된다. 고밀도$O_2$ 플라즈마 표면처리 시간이 증가함에 따라 플라스틱 기판의 결합에너지와 부착력이 증가하여 AZO 박막의 결정립 크기를 증가시키며, 접촉각은 감소하였다. 또한 급속열처리 온도가 증가함에 따라 전기적 특성과 광학적 특성이 향상됨을 확인할 수 있었다. 제작된 AZO 박막은 급속열처리 시간 10분에서 온도$200^{\circ}C$ 일 때, 캐리어 농도$2.32{\times}10^{21}cm^{-3}$ , 홀 이동도$4.3cm^{-2}/V$ 로 가장 높은 것을 확인할 수 있었고, 가장 낮은 비저항$1.07{\times}10^{-3}{\Omega}{\cdot}cm$ 과 가시광 영역(300 nm ~ 1100 nm)에서의 AZO 박막의 광 투과율은 약 86%를 얻을 수 있었다. -
Tchoe, Youngbin;Lee, Chul-Ho;Park, Junbeom;Baek, Hyeonjun;Chung, Kunook;Jo, Janghyun;Kim, Miyoung;Yi, Gyu-Chul 287.1
Three-dimensional (3-D) semiconductor nanoarchitectures, including nano- and micro- rods, pyramids, and disks, are emerging as one of the most promising elements for future optoelectronic devices. Since these 3-D semiconductor nanoarchitectures have many interesting unconventional properties, including the use of large light-emitting surface area and semipolar/nonpolar nano- or micro-facets, numerous studies reported on novel device applications of these 3-D nanoarchitectures. In particular, 3-D nanoarchitecture devices can have noticeably different current spreading characteristics compared with conventional thin film devices, due to their elaborate 3-D geometry. Utilizing this feature in a highly controlled manner, color-tunable light-emitting diodes (LEDs) were demonstrated by controlling the spatial distribution of current density over the multifaceted GaN LEDs. Meanwhile, for the fabrication of high brightness, single color emitting LEDs or laser diodes, uniform and high density of electrical current must be injected into the entire active layers of the nanoarchitecture devices. Here, we report on a new device structure to inject uniform and high density of electrical current through the 3-D semiconductor nanoarchitecture LEDs using metal core inside microtube LEDs. In this work, we report the fabrications and characteristics of metal-cored coaxial$GaN/In_xGa_{1-x}N$ microtube LEDs. For the fabrication of metal-cored microtube LEDs,$GaN/In_xGa_{1-x}N/ZnO$ coaxial microtube LED arrays grown on an n-GaN/c-Al2O3 substrate were lifted-off from the substrate by wet chemical etching of sacrificial ZnO microtubes and$SiO_2$ layer. The chemically lifted-off layer of LEDs were then stamped upside down on another supporting substrates. Subsequently, Ti/Au and indium tin oxide were deposited on the inner shells of microtubes, forming n-type electrodes of the metal-cored LEDs. The device characteristics were investigated measuring electroluminescence and current-voltage characteristic curves and analyzed by computational modeling of current spreading characteristics. -
Silicon has considerably good characteristics on electron, hole mobility and its price. With 2-D sinlge-layer Graphene/n-Si heterojunction solar cell shows that in one sun condition exhibit power conversion efficiency(PCE) of 10.1%. This photovoltaic effect was achieved by applying gate voltage to the Schottky junction of the heterostructure solar cell. Energy band diagram shows that Schottky barrier between Si and graphene can be adjust by the external electric field. because of the fermi level of the graphene can be changed by external gate voltage, we can control the Schottkky barrier of the heterostructure solar cell. The ratio between generated power of solar cell and consumption electrical power is remarkable. Since we use the graphene as the top gate electrode, most of the sun light can penetrate into the active area.
-
Germanium (Ge) with higher carrier mobility and a lower crystallization temperature has been considered as the channel material of thin-film transistors for display applications. Various methods were studied for crystallizaion of poly-Ge from amorphous Ge at low temperature. Especially Metal induced crystalliazation (MIC) process was widely studied because low process cost. In this paper, we investigate copper diffusion process of different thick (70 nm, 350 nm) poly-Ge film obtained by MIC process at various temperatures (250, 300, and
$350^{\circ}C$ ) through atomic force microscopy (AFM), Raman spectroscopy, and secondary ion mass spectroscopy (SIMS) measurement. Crystallization completeness and grain size was similar in all the conditions. Copper diffusion profile of 370 nm poly-Ge film show simirly results regardless of process temperature. However, copper diffusion profile of 70 nm poly-Ge film show different results by process temperature. -
In-Ga-Zn-O(IGZO) receive great attention as a channel material for thin film transistors(TFTs) as next-generation display panel backplanes due to its superior electrical and physical properties such as a high mobility, low off-current, high sub-threshold slope, flexibility, and optical transparency. For the purpose of fabricating high performance IGZO TFTs, a thermal recovery process above a temperature of
$300^{\circ}C$ is required for recovery or rearrangement of the ionic bonding structure. However diffused metal atoms from source/drain(S/D) electrodes increase the channel conductivity through the oxidation of diffused atoms and reduction of$In_2O_3$ during the thermal recovery process. Threshold voltage ($V_{TH}$ ) shift, one of the electrical instability, restricts actual applications of IGZO TFTs. Therefore, additional investigation of the electrical stability of IGZO TFTs is required. In this paper, we demonstrate the effect of Ti diffusion and modulation of interface traps by carrying out an annealing process on IGZO. In order to investigate the effect of diffused Ti atoms from the S/D electrode, we use secondary ion mass spectroscopy (SIMS), X-ray photoelectron spectroscopy, HSC chemistry simulation, and electrical measurements. By thermal annealing process, we demonstrate VTH shift as a function of the channel length and the gate stress. Furthermore, we enhance the electrical stability of the IGZO TFTs through a second thermal annealing process performed at temperature$50^{\circ}C$ lower than the first annealing step to diffuse Ti atoms in the lateral direction with minimal effects on the channel conductivity. -
Grapehen, a single atomic layer of graphite, has been in the spotlight and researched in vaious fields, because its fine mechanical, electrical properties, flexibility and transparence. Synthesis methods for large-area graphene such as chemical vaper deposition (CVD) and mechanical, chemical exfoliation have been reported. In particular, chemical exfoliation method receive attention due to low cost process. Chemical exfoliation method require reduction of graphene oxide in the process of exfoliation such as chemical reduction by strong reductant, thermal reduction on high temperature, and optical reduction via ultraviolet light exposure. Among these reduction methods, optical reduction is free from damage by strong reductant and high temperature. However, optical reduction is economically infeasible because the high cost of short-wavelength ultraviolet light sorce. In this paper, we make graphene-oxide and lanthanoid ion mixture aqueous solution which has highly optical absorbency in selective wevelength region. Sequentially, we synthesize reduced graphene oxide (RGO) using the solution and visible laser beam. Concretely, graphene oxide is made by modified hummer's method and mix with 1 ml each ultraviolet ray absorbent Gd3+ ion, Green laser absorbent Tb3+ ion, Red laser absorbent Eu3+ ion. After that, we revivify graphene oxide by laser exposure of 300 ~ 800 nm layser 1mW/cm2 +. We demonstrate reproducibility and repeatability of RGO through FT-IR, UV-VIS, Low temperature PL, SEM, XPS and electrical measurement.
-
Recently, organic thin-film transistors have been widely researched for organic light-emitting diode panels, memory devices, logic circuits for flexible display because of its virtue of mechanical flexibility, low fabrication cost, low process temperature, and large area production. In order to achieve high performance OTFTs, increase in accumulation carrier mobility is a critical factor. Post-fabrication thermal annealing process has been known as one of the methods to achieve this by improving the crystal quality of organic semiconductor materials In this paper, we researched the properties of pentacene films with X-Ray Diffraction (XRD) and Atomic Force Microscope (AFM) analyses as different annealing temperature in N2 ambient. Electrical characterization of the pentacene based thin film transistor was also conducted by transfer length method (TLM) with different annealing temperature in Al- and Ti-pentacene junctions to confirm the Fermi level pinning phenomenon. For Al- and Ti-pentacene junctions, is was found that as the surface quality of the pentacene films changed as annealing temperature increased, the hole-barrier height (h-BH) that were controlled by Fermi level pinning were effectively reduced.
-
Graphene by one of the two-dimensional (2D) materials has been focused on electronic applications due to its ultrahigh carrier mobility, outstanding thermal conductivity and superior optical properties. Although graphene has many remarkable properties, graphene devices have low on/off current ratio due to its zero bandgap. Despite considerable efforts to open its bandgap, it's hard to obtain appropriate improvements. To solve this problem, heterojunction barristor was proposed based on graphene. Mostly, this heterojunction barristor is made by transition metal dichalcogenides (TMDs), such as molybdenum disulfide (
$MoS_2$ ) and tungsten diselenide ($WSe_2$ ), which have extremely thickness scalability of TMDs. The heterojunction barristor has the advantage of controlling graphene's Fermi level by applying gate bias, resulting in barrier height modulation between graphene interface and semiconductor. However, charged impurities between graphene and$SiO_2$ cause unexpected p-type doping of graphene. The graphene's Fermi level modulation is expected to be reduced due to this p-doping effect. Charged impurities make carrier mobility in graphene reduced and modulation of graphene's Fermi level limited. In this paper, we investigated theoretically and experimentally a relevance between graphene's Fermi level and p-type doping. Theoretically, when Fermi level is placed at the Dirac point, larger graphene's Fermi level modulation was calculated between -20 V and +20 V of$V_{GS}$ . On the contrary, graphene's Fermi level modulation was 0.11 eV when Fermi level is far away from the Dirac point in the same range. Then, we produced two types heterojunction barristors which made by p-type doped graphene and graphene treated 2.4% APTES, respectively. On/off current ratio (32-fold) of graphene treated 2.4% APTES was improved in comparison with p-type doped graphene. -
3D semiconductor material of silicon that is used throughout the semiconductor industry currently faces a physical limitation of the development of semiconductor process technology. The research into the next generation of nano-semiconductor materials such as semiconductor properties superior to replace silicon in order to overcome the physical limitations, such as the 2-dimensional graphene material in 2D transition-metal dichalcogenide (TMD) has been researched. In particular, 2D TMD doping without severely damage of crystal structure is required different conventional methods such as ion implantation in 3D semiconductor device. Here, we study a p-type doping technique on tungsten diselenide (WSe2) for p-channel 2D transistors by adjusting the concentration of hydrochloric acid through Raman spectroscopy and electrical/optical measurements. Where the performance parameters of WSe2 - based electronic device can be properly designed or optimized. (on currents increasing and threshold voltage positive shift.) We expect that our p-doping method will make it possible to successfully integrate future layered semiconductor devices.
-
Various materials including conductive, dielectric, and semi-conductive materials, constitute suitable candidates for printed electronics. Metal nanoparticles (e.g. Ag, Cu, Ni, Au) are typically used in conductive ink. However, easily oxidized metals, such as Cu, must be processed at low temperatures and as such, photonic sintering has gained significant attention as a new low-temperature processing method. This method is based on the principle of selective heating of a strongly absorbent film, without light-source-induced damage to the transparent substrate. However, Cu nanoparticles used in inks are susceptible to the growth of a native copper-oxide layer on their surface. Copper-oxide-nanoparticle ink subjected to a reduction mechanism has therefore been introduced in an attempt to achieve long-term stability and reliability. In this work, a flash-light sintering process was used for the reduction of an inkjet-printed Cu(II)O thin film to a Cu film. Using a photographic lighting instrument, the intensity of the light (or intense pulse light) was controlled by the charged power (Ws). The resulting changes in the structure, as well as the optical and electrical properties of the light-irradiated Cu(II)O films, were investigated. A Cu thin film was obtained from Cu(II)O via photo-thermal reduction at 2500 Ws. More importantly, at one shot of 3000 Ws, a low sheet resistance value (
$0.2527{\Omega}/sq.$ ) and a high resistivity (${\sim}5.05-6.32{\times}10^{-8}{\Omega}m$ ), which was ~3.0-3.8 times that of bulk Cu was achieved for the ~200-250-nm-thick film. -
The In this paper, we have fabricated the solution processed In-Ga-ZnO thin film transistors (IGZO TFTs) by varying indium and gallium ratio. The indium ratio of IGZO TFTs was changed from 1 to 5 at fixed gallium and zinc oxide atomic percent of 1:1 and gallium ratio was varied from 1 to 5 at fixed indium and zinc oxide atomic percent of 1:1. When the indium ratio was increased at fixed gallium and zinc oxide ratio of 1:1, threshold voltage was negatively shifted from 1.03 to -6.18 V and also mobility was increased from 0.018 to
$0.076cm2/V{\cdot}sec$ . It means that the number of carriers in IGZO TFTs were increased due to great formation of the oxygen vacancies which generate electrons. In contrast, when the gallium ratio was increased in IGZO TFTs with indium and zinc oxide ration of 1:1, the on/off current ratio was increased from$1.88{\times}104$ to$2.22{\times}105$ . It is because gallium have stronger chemical bonds with oxygen than that with the zinc and indium ions that lead to the decreased in electron concentration. -
Transition metal dichalcogenides (TMDs) with two-dimensional layered structure, such as molybdenum disulfide (MoS2) and tungsten diselenide (WSe2), are considered attractive materials for future semiconductor devices due to its relatively superior electrical, optical, and mechanical properties. Their excellent scalability down to a monolayer based on the van der Waals layered structure without surface dangling bonds makes semiconductor devices based on TMD free from short channel effect. In comparison to the widely studied transistor based on MoS2, researchs focusing on WSe2 transistor are still limited. WSe2 is more resistant to oxidation in humid ambient condition and relatively air-stable than sulphides such as MoS2. These properties of WSe2 provide potential to fabricate high-performance filed-effect transistor if outstanding electronic characteristics can be achieved by suitable metal contacts and doping phenomenon. Here, we demonstrate the effect of two different metal contacts (titanium and platinum) in field-effect transistor based on WSe2, which regulate electronic characteristics of device by controlling the effective barreier height of the metal-semiconductor junction. Electronic properties of WSe2 transistor were systematically investigated through monitoring of threshold voltage shift, carrier concentration difference, on-current ratio, and field-effect mobility ratio with two different metal contacts. Additionally, performance of transistor based on WSe2 is further enhanced through reliable and controllable n-type doping method of WSe2 by triphenylphosphine (PPh3), which activates the doping phenomenon by thermal annealing process and adjust the doping level by controlling the doping concentration of PPh3. The doping level is controlled in the non-degenerate regime, where performance parameters of PPh3 doped WSe2 transistor can be optimized.
-
Graphene, a sigle atomic layered structure of graphite, has drawn many scientific interests for attractive future electronics and optoelectronics beyond silicon-based technology because of its robust physical, optical, and electrical properties. But high metal-graphene contact resistance prevents the successful integration of high speed graphene devices and circuits, although pristine graphene is known to have a novel carrier transport property. Meanwhile, in the recently reported metal-graphene contact studies, there are many attempts to reduce the metal-graphene contact resistance, such as doping and one-dimensional edge contact. However, there is a lack of quantitative analysis of the edge contact scheme through variously designed patterns with different metal contact. We first investigate the effets of edge contact (metal-graphene interface) on the contact resistance in terms of edge pattern design through patterning (photolithography + plasma etching) and electral measurements. Where the contact resistance is determined using the transfer length method (TLM). Finally, we research the role of metal-kind (Palladium, Copper, and Tianium) on the contact resistance through the edge-contacted devices, eventually minimizing contact resistance down to approximately
$23{\Omega}{\cdot}{\mu}m$ at room temperature (approximately$19{\Omega}{\cdot}{\mu}m$ at 100 K). -
높은 굴절률(n_H) 의 ITO films 위에 homoepitaxial 성장 기술로 낮은 굴절률(n_L) 의 ITO를 이중으로 증착한 반사방지막을 연구하였다. 우리는 기판 상에 vapor flux 입사 각도 및 columnar 성장막과 경사각 사이의 상관 관계에 기초하여 낮은 굴절률의 ITO 박막을 Oblique-angle sputtering을 사용하여 증착하였다. Oblique-angle 증착동안 columns 경사각이 incident flux angle 의 증가에 따라 linear 하게 증가했다. 반대로 incident flux angle 이 증가할때 ITO 박막의 굴절률은 현저하게 감소하였는데, 이는 원자의 shadowing effect와 표면 diffusion으로 인하여 필름내의 porosity를 증가시킨 것으로 보여진다. 이러한 결과로 homoepitaxial으로 성장시킨 ITO 이중층 구조 반사방지막 특성이 향상되었으며, 유리 기판 위에서 weight average reflectance가 n_L=1.72, n_H=1.90 에서 6.57%를 달성하였다.
-
Photoacoustic generation of ultrasound is an effective approach for development of high-frequency and high-amplitude ultrasound transmitters. This requires an efficient energy converter from optical input to acoustic output. For such photoacoustic conversion, various light-absorbing materials have been used such as metallic coating, dye-doped polymer composite, and nanostructure composite. These transmitters absorb laser pulses with 5-10 ns widths for generation of tens-of-MHz frequency ultrasound. The short optical pulse leads to rapid heating of the irradiated region and therefore fast thermal expansion before significant heat diffusion occurs to the surrounding. In this purpose, nanocomposite thin films containing gold nanoparticles, carbon nanotubes (CNTs), or carbon nanofibers have been recently proposed for high optical absorption, efficient thermoacosutic transfer, and mechanical robustness. These properties are necessary to produce a high-amplitude ultrasonic output under a low-energy optical input. Here, we investigate carbon nanotube (CNT)-polydimethylsiloxane (PDMS) composite transmitters and their nanostructure-originated characteristics enabling extraordinary energy conversion. We explain a thermoelastic energy conversion mechanism within the nanocomposite and examine nanostructures by using a scanning electron microscopy. Then, we measure laser-induced damage threshold of the transmitters against pulsed laser ablation. Particularly, laser-induced damage threshold has been largely overlooked so far in the development of photoacoustic transmitters. Higher damage threshold means that transmitters can withstand optical irradiation with higher laser energy and produce higher pressure output proportional to such optical input. We discuss an optimal design of CNT-PDMS composite transmitter for high-amplitude pressure generation (e.g. focused ultrasound transmitter) useful for therapeutic applications. It is fabricated using a focal structure (spherically concave substrate) that is coated with a CNT-PDMS composite layer. We also introduce some application examples of the high-amplitude focused transmitter based on the CNT-PDMS composite film.
-
Two-dimensional materials have been received significant interest after the discovery of graphene due to their fascinating electronic and optical properties for the application of novel devices. However, graphene lack of certain bandgap which is essential requirement to achieve high performance field-effect transistors. Analogous to graphene materials, molybdenum disulfide (
$MoS_2$ ) as one of transition-metal dichalcogenides family presents considerable bandgap and exhibits promising physical, chemical, optical and mechanical properties. Here we studied nonvolatile memory based on$MoS_2$ which is grown by chemical vapor deposition (CVD) method.$MoS_2$ growth was taken on$1.5{\times}1.5cm^2$ $SiO_2$ /Si-substrate. The samples were analyzed by Raman spectroscopy, atomic force microscopy and X-ray photoelectron spectroscopy. Current-voltage (I-V) characteristic was carried out HP4156A. The CVD-$MoS_2$ was analyzed as few layers and 2H-$MoS_2$ structure. From I-V measurement for two metal contacts on CVD-$MoS_2$ sample, we found typical resistive switching memory effect. The device structures and the origin of nonvolatile memory effect will be discussed. -
가공이 까다로운 소재를 가공하기 위한 공구에 적용하기 위해서 Al의 함량이 높은 AlTiN 소재가 개발되어 적용되고 있으며, 이 소재는 공구의 수명향상을 위한 표면처리 소재로 각광을 받고 있다. 본 연구에서는 음극 아크 증착 시 거대입자가 박막에 증착되어 결함을 만들기 때문에 그 밀도를 낮추기 위해서 음극 아크 증착을 이용하여 공정 변화에 따른 AlTiN 박막의 표면형상을 관찰하고 특성을 평가하였다. 또한 빗각 증착을 적용하여 제작한 AlTiN 박막의 특성을 평가하였다. Al-25 at.%Ti 합금타겟을 음극 아크 소스에 장착하여 AlTiN 박막을 코팅하였다. 시편은 스테인리스 강판(SUS304)과 초경(tungsten carbide; WC)을 사용하였다. 음극 아크 소스에 인가되는 전류가 낮을수록 AlTiN 박막 표면에 거대입자의 밀도가 낮아졌으며, 기판 전압과 공정압력이 높을수록 AlTiN 박막의 표면에 존재하는 거대입자의 밀도가 낮아지는 경향을 보였다. 이를 통하여 거대입자밀도를 낮추는 기초공정을 도출하였다. AlTiN 박막 제작 시 빗각을 적용한 결과
$60^{\circ}$ 의 빗각을 적용한 다층 박막에서 약 33 GPa의 경도를 보였다. 본 연구를 통해 음극 아크 증착을 이용하여 거대입자의 밀도가 낮은 박막을 제작할 수 있는 공정을 도출하였고, 빗각증착을 적용하면 경도가 향상되는 결과를 확인하였다. 이를 통해 절삭공구 등과 같이 고경도의 코팅물성 유지를 위한 코팅분야에 응용이 가능할 것으로 판단된다. -
최근 반도체 회로의 미세화로 인해 디자인 공정이 20 nm 이하로 내려갔다. 그 결과 회로간의 간격이 줄었으며 많은 문제가 발생 한다. 첫 번째 문제는 미세하게 여러 박막 층들을 쌓기 때문에 박막 층이 그전 50 nm 공정에 비해선 쉽게 무너질 수 있다. 따라서 하나의 박막 층은 다른 여러 박막들의 하중을 잘 견뎌야 할 것이다. 결과적으로 회로의 미세화에 따라 박막의 기계적 특성이 좋아야 될 것이다. 또 다른 문제는 너무 좁은 회로의 간격으로 인해 다른 회로에 영향을 미치는 크로스토크라는 전기적 문제이다. 크로스토크가 크다는 것은 회로간의 누설 전류가 크다는 것을 의미하며 그만큼 신호 전달 능력이 감소 한다는 것을 뜻한다. 크로스토크의 문제점을 해결하기 위해 회로 사이에 절연 막을 만들어 누설전류를 막아야 한다. 이러한 문제를 바탕으로 본 연구는 Zirconium nitride (ZrN) 박막이 이러한 문제점을 해결 할 수 있는 지연구해 보았다. 박막 제작 시 변화 요인은 질소유량 과 열처리 온도 이며 질소유량 변화는 2 sccm 과 8 sccm 두 경우로 하였다. 또한 열처리는 As-deposited state,
$600^{\circ}C$ 와$800^{\circ}C$ 로 열처리 하였다. 박막 증착은 RF magnetron sputtering을 이용하였으며 열처리는 질소 분위기에서 furnace를 이용하였다. 기계적 특성분석 결과 질소유량이 2 sccm 인 박막의 hardness는 as-deposited stste에서 18.8 GPa이고$600^{\circ}C$ 에선 18.4 GPa로 거의 비슷하고$800^{\circ}C$ 열처리한 경우는 15.4 GPa 으로 hardness가 감소하는 것을 알 수 있었다. 질소 유량을 8 sccm 흘려주며 증착한 박막의 경우는 as-deposited state,$600^{\circ}C$ ,$800^{\circ}C$ 에서의 hardness가 각각 17.5, 16.4, 21.1 GPa 으로 감소하다가 증가하는 경향을 보였다. 또한 zrN 박막의 전기적 특성인 누설 전류 밀도도 측정하였다. 결과적으로 본 연구는 ZrN 박막의 질소 유량 변화와 열처리에 따른 기계적, 전기적 특성변화를 확인 하였다. -
Increasing of the demand for energy savings for buildings, thermal barrier films have more attracted. In particular, as heat loss through the windows have been pointed out to major problems in the construction and automobile industries, the research is consistently conducted for improving the thermal blocking performance for windows. The main theory of the technology is reflect the infrared rays to help the cut off the inflow of the solar energy in summer and outflow of the heat from indoors in winter to save the energy on cooling and heating. Furthermore, this is well known for prevent glare, reduces fading caused by harmful ultraviolet radiation and easy to apply on constructed buildings if it made as a film. In addition to these advantages, apply the transparent electrode to eliminate condensation by heating. Generally ITO is used as a transparent electrode, but is has a low stability in environmental factors. In this study, ITO and its alternative, ATO, is deposited by sputtering system and then the characteristic is evaluated each material based thermal barrier thin film. The optical property was measured on wide range of wavelength (200 nm 2500 nm) to know the transparency in visible wavelength and reflectivity in IR wavelength range. The electrical property was judged by sheet resistivity. Finally the changes of the temperature and current of the deposited film was observed while applying a DC power.
-
Kim, Sang Jin;Lee, Bora;Choi, Yong Seok;Kim, Philip;Hone, James;Hong, Byung Hee;Bae, Sukang 301.1
We report an ultraclean, cost-effective, and easily scalable method of transferring and patterning large-area graphene using pressure sensitive adhesive films (PSAFs) at room temperature. This simple transfer is enabled by the difference in wettability and adhesion energy of graphene with respect to PSAF and a target substrate. The PSAF transferred graphene is found to be free from residues, and shows excellent charge carrier mobility as high as${\sim}17,700cm^2/V{\cdot}s$ with less doping compared to the graphene transferred by thermal release tape (TRT) or poly(methyl methacrylate) (PMMA) as well as good uniformity over large areas. In addition, the sheet resistance of graphene transferred by recycled PSAF does not change considerably up to 4 times, which would be advantageous for more cost-effective and environmentally friendly production of large-area graphene films for practical applications. -
Metal-oxide thin-film transistors (TFTs) have gained a considerable interest in transparent electronics owing to their high optical transparency and outstanding electrical performance even in an amorphous state. Also, these metal-oxide materials can be solution-processed at a low temperature by using deep ultraviolet (DUV) induced photochemical activation allowing facile integration on flexible substrates [1]. In addition, high-dielectric constant (k) inorganic gate dielectrics are also of a great interest as a key element to lower the operating voltage and as well as the formation of coherent interface with the oxide semiconductors, which may lead to a considerable improvement in the TFT performance. In this study, we investigated the electrical properties of solution-processed high-k strontium-doped AlOx (Sr-AlOx) gate dielectrics. Using the Sr-AlOx as a gate dielectric, indium-gallium-zinc oxide (IGZO) TFTs were fabricated and their electrical properties are analyzed. We demonstrate IGZO TFTs with a 10-nm-thick Sr-AlOx gate dielectric which can be operated at a low voltage (~5 V).
-
Solution-processed amorphous metal-oxide thin-film transistors (TFTs) are considered as promising candidates for the upcoming transparent and flexible electronics due to their transparent property, good performance uniformity and possibility to fabricate at a low-temperature. In addition, solution processing metal oxide TFTs may allow non-vacuum fabrication of flexible electronic which can be more utilizable for easy and low-cost fabrication. Recently, for high-mobility oxide TFTs, multi-layered oxide channel devices have been introduced such as superlattice channel structure and heterojunction structure. However, only a few studies have been mentioned on the bias illumination stress in the multi- layered oxide TFTs. Therefore, in this research, we investigated the effects of bias illumination stress in solution-processed bilayer oxide TFTs which are fabricated by the deep ultraviolet photochemical activation process. For studying the electrical and stability characteristics, we implemented positive bias stress (PBS) and negative bias illumination stress (NBIS). Also, we studied the electrical properties such as field-effect mobility, threshold voltage (
$V_T$ ) and subthreshold slop (SS) to understand effects of the bilayer channel structure. -
알루미늄 산화막 스퍼터링 공정 중 타겟이 반응성이 있는 산소와 결합하여 산화되는 타겟 오염은 증착 효율의 감소[1]와 방전기 내 아크 발생을 촉진[2]하여 이를 억제하는 방법이 연구되어 왔다. 본 연구에서는 알루미늄 산화막 증착 공정 중 타겟 오염 현상이 기판에 증착된 알루미늄 산화막 특성이 미치는 영향을 분석하였다. 실험에는 알루미늄 타겟이 설치된 6 인치 웨이퍼용 직류 마그네트론 스퍼터링 장치를 활용하였다. 위 장치에서 공정 변수 제어를 통해 타겟 오염 현상의 진행 속도를 제어하였다. 공정 중 타겟 오염 현상을 타겟 표면 알루미나 형성에 따른 전압 강하로 관찰하였고 타겟 오염에 의한 플라즈마 변화를 원자방출분광법을 통해 관찰하였다. 이 때 기판에 증착 된 알루미나 박막의 화학적 결합 특성을 XPS depth로 측정하였으며, 알루미나 박막의 두께를 TEM을 통해 측정하였다. 측정 결과 타겟 오염 발생에 의해 공정 중 인가 전압 감소와 타겟 오염에 소모된 산소 신호의 감소가 타겟 오염 정도에 따라 변동되었다. 또한 공정 중 타겟 오염 정도가 클수록 기판에 증착한 막과 실리콘 웨이퍼 사이에 산소와 실로콘 웨이퍼의 화합물인 산화규소 계면의 형성 증가됨을 확인했다. 위 현상은 타겟 오염 과정 중 발생하는 방전기 내 산소 분압 변화와 막 증착 속도 변화가 산소의 실리콘 웨이퍼로의 확산에 영향을 준 것으로 해석되었다. 위 결과를 통해 스퍼터링 공정 중 타겟 오염 현상이 기판에 증착 된 알루미나 막 및 계면에 미치는 영향을 확인하였다.
-
Polymer-like amorphous carbon films were deposited on polyethylene naphthalate (PEN) substrate by plasma-enhanced chemical vapor deposition (PECVD) and their water vapor transmission rates (WVTR) were tested. propane was used as precursors. To make a polymer-like amorphous carbon film the deposition rate, surface roughness, light transmittance, and WVTR of the films were characterized as a function of the precursor feed ratio and plasma power. The water vapor transmission rates of bare PEN film and single layer PAC on PEN substrate were 6.95 g/m2/day and 0.3 g/m2/day, respectively. The superior property the water vapor permeability of thin layers of PAC was attributed to uniform coverage and good adhesion between PAC film and PEN substrate.
-
현재 플라즈마를 이용한 기술은 반도체, 태양광 발전, 디스플레이 등 산업의 전반적인 분야에서 특히 반도체 공정을 이용한 산업에서는 핵심적인 기술이다. 반도체 공정 중에서 박막 증착과 식각 분야에서 플라즈마를 사용한 기술은 매우 높은 가치를 지니고 있다. 중요한 플라즈마 연구로는 이론적 접근을 통한 플라즈마 소스 개발과, 기 개발된 플라즈마 소스를 적용하여 반도체 공정에 적용함으로써 최적의 조건을 찾아내며, 그에 대한 메커니즘을 연구하는 분야로 크게 분리할 수 있다. 따라서 이러한 플라즈마 기술이 발달함에 따라 nano-scale의 연구 또한 상당히 중요한 부분으로 자리 잡고 있다. 본 실험에서는 RF magnetron sputter를 사용하고 질소 유량을 0.5 sccm으로 고정하여 AlN 박막을 증착하였다. 이후 상압 플라즈마를 이용하여 식각을 진행하였다. AlN 박막 전체 표면에 대하여 3초 및 6초간 식각을 진행하였다. 이후 Nano-Indenter를 사용하여
$100{\sim}7000{\mu}N$ 까지 힘을 증가시키며 측정하였다. 3초간 식각을 진행한 시료의 경우 압입 깊이 대비 Hardness 그래프에서 약 40 ~ 100 nm 까지 약 2.5 GPa 정도의 차이가 발생하였고 6초간 식각을 진행한 시료의 경우 압입 깊이 대비 Hardness의 그래프에서 약 40 ~ 130 nm 까지 약 1 GPa 정도의 차이가 발생함을 확인하였다. 이후 WET-SPM 장비를 사용하여 AFM 모드를 이용하여 박막 표면이 거칠기를 확인하였다. 플라즈마 식각공정을 거치지 않은 시료의 경우 박막의 거칠기는 7.77 nm로 측정되었고 3초간 플라즈마 식각공정을 거친 시료의 경우 6.53 nm, 6초간 플라즈마 식각공정을 거친 시료의 경우 8.45 nm로 나타남을 확인할 수 있었다. 이와 같은 결과들로부터 플라즈마 식각공정은 박막의 표면에도 영향을 미치지만 박막 내부 일정 부분까지 영향을 받는 것을 확인하였다. -
We have investigated the effect of plasma nitridation of atomic layer deposited-Al2O3 films of monocrystalline Si wafers and the thermal properties of nitridated Al2O3 films. Nitridation was performed on Al2O3 to form aluminum oxynitride (AlON) using NH3 plasma treatment in a plasma-enhanced chemical vapor deposition and it was conducted at temperature of
$400^{\circ}C$ with various plasma power condition. After nitridation, we performed firing and forming gas annealing (FGA). For each step, we have observed the minority carrier lifetime and the implied Voc by using quasi-Steady-State photoconductance (QSSPC). We confirmed a tendency to increase the minority carrier lifetime and the implied Voc after the nitridation. On the other hand, the minority carrier lifetime and the implied Voc was decreased after Firing and forming gas annealing (FGA). To get more information, we studied properties of the plasma treated Al2O3 films by using Secondary Ion Mass Spectroscopy (SIMS) and X-ray Photoelectron Spectroscopy (XPS). -
Hafnium oxide-aluminum oxide (HfO2-Al2O3) dielectric films have been fabricated by Pulsed Laser Deposition (PLD), and their properties are studied in comparison with HfO2 films. As a gate dielectric of the TFT, in spite of its high dielectric constant, HfO2 has a small energy band gap and microcrystalline structure with rough surface characteristics. When fabricated by the device, it has the drawback of generating a high leakage current. In this study, the HfAlO films was obtained by Pulsed Laser Deposition with HfO2-Al2O3 target(chemical composition of (HfO2)86wt%(Al2O3)14wt%). The characteristics of the thin Film have been investigated by x-ray diffraction (XRD), atomic force microscopy (AFM) and spectroscopic ellipsometer (SE) analyses. The X-ray diffraction studies confirmed that the HfAlO has amorphous structure. The RMS value can be compared to the surface roughness via AFM analysis, it showed HfAlO thin Film has more lower properties than HfO2. The energy band gap (Eg) deduced by spectroscopic ellipsometer was increased. HfAlO films was expected to improved the interface quality between channel and gate insulator. Apply to an oxide thin Film Transistors, HfAlO may help improve the properties of device.
-
Cho, Kyungjin;Lee, Seunghun;Kim, Seongtak;Chung, Teawon;Lee, Sang-won;Kim, Soo Min;Park, Hyomin;Kang, Yoonmook;Lee, Hae-seok;Kim, Donghwan 305.1
페로브스카이트 태양전지는 차세대 태양전지로써 몇 년 사이에 매우 큰 폭으로 효율이 증가하고 있으며 활발한 연구가 진행되고 있다. 페로브스카이트의 태양전지의 구조는 전자전도체, 페로브스카이트 광흡수체, 정공전도체, 전극으로 구성된다. 전자전도체는 전자 포집성이 우수한 다공성 TiO2 층과 TiO2 박막 층으로 구성된다. 균일한 박막 TiO2를 형성하는 것은 페로브스카이트 태양전지의 개방전압 특성에 기여한다. TiO2 박막을 제조하는 방법으로써 용액을 사용한 스핀 코팅 법은 간편하게 제조가 가능하나, 일정한 두께의 박막을 형성하지 못하고 균일하지 못하는 단점을 가진다. 본 연구에서는 RF 마그네트론 스퍼터를 이용하여 보다 균일한 TiO2 박막을 제조하였다. X-Ray Diffraction (XRD), Scanning Electron Microscope (SEM), Light IV, Quantum Efficiency (QE)로 분석하였다. 이를 통하여 제조방법 차이에 따른 페로브스카이트 태양전지의 영향을 분석하였다. -
Tin monosulfide (SnS) is one promising candidate absorber material which replace the current technology based on cadmium telluride (CdTe) and copper indium gallium sulfide selenide (CIGS) for its suitable optical band gap, high absorption coefficient, earth-abundant, non-toxic and cost-effective. During past years work, thin film solar cells based on SnS films had been improved to 4.36% certified efficiency. In this study, Tin monosul fide was obtained by atomic layer deposition (ALD) using the reaction of Tetrakis (dimethylamino) tin (TDMASn, [(CH3)2N]4Sn) and hydrogen sulfide (H2S) at low temperatures (100 to 200 oC). The direct optical band gap and strong optical absorption of SnS films were observed throughout the Ultraviolet visible spectroscopy (UV VIS), and the properties of SnS films were analyzed by sanning Electron Microscope (SEM) and X-Ray Diffraction (XRD).
-
ZnO semiconductor material has been widely utilized in various applications in semiconductor device technology owing to its unique electrical and optical features. It is a promising as solar cell material, because of its low cost, n-type conductivity and wide direct band gap. In this work ZnO/Si heterojunctions were fabricated by using pulsed laser deposition. Vacuum chamber was evacuated to a base pressure of approximately
$2{\times}10^{-6}Torr$ . ZnO thin films were grown on p-Si (100) substrate at oxygen partial pressure from 5mTorr to 40mTorr. Growth temperature of ZnO thin films was set to 773K. A pulsed (10 Hz) Nd:YAG laser operating at a wavelength of 266 nm was used to produce a plasma plume from an ablated a ZnO target, whose density of laser energy was$10J/cm^2$ . Thickness of all the thin films of ZnO was about 300nm. The optical property was characterized by photoluminescence and crystallinity of ZnO was analyzed by X-ray diffraction. For fabrication ZnO/Si heterojunction diodes, indium metal and Al grid patterns were deposited on back and front side of the solar cells by using thermal evaporator, respectively. Finally, current-voltage characteristics of the ZnO/Si structure were studied by using Keithly 2600. Under Air Mass 1.5 Global solar simulator with an irradiation intensity of$100mW/cm^2$ , the electrical properties of ZnO/Si heterojunction photovoltaic devices were analyzed. -
Niobium oxide thin films were synthesized by reactive rf magnetron sputtering. The target was metallic niobium with 2 inch in diameter and the substrate was n-type Si wafer. To control the surface properties of the films, Nb oxide thin films were obtained at various mixing ratios of argon and oxygen gases. Nb oxide thin films were analyzed with alpha step, scanning electron microscopy (SEM), X-ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS). The result of alpha step showed that the thickness of Nb oxide thin films were decreased with increasing the oxygen gas ratios. SEM images showed that the granular morphology was formed at 0% of oxygen gas ratio and then disappeared at 20 and 75% of oxygen gas ratio. The amorphous Nb oxide was observed by XRD at all films. The oxidation state of Nb and O were studied with high resolution Ni 2p and O 1s XPS spectra. And the change in the chemical environment of Nb oxide thin films was investigated by XPS with Ar+ sputtering.
-
Amorphous zinc tin oxide (ZTO) thin films are being widely studied for a variety electronic applications such as the transparent conducting oxide (TCO) in the field of photoelectric elements and thin film transistors (TFTs). Thin film transistors (TFTs) with transparent amorphous oxide semiconductors (TAOS) represent a major advance in the field of thin film electronics. Examples of TAOS materials include zinc tin oxide (ZTO), indium gallium zinc oxide (IGZO), indium zinc oxide, and indium zinc tin oxide. Among them, ZTO has good optical and electrical properties (high transmittance and larger than 3eV band gap energy). Furthermore ZTO does not contain indium or gallium and is relatively inexpensive and non-toxic. In this study, ZTO thin films were formed by UHV RF magnetron co-sputter deposition on silicon substrates and sapphires. The films were deposited from ZnO and SnO2 target in an RF argon and oxygen plasma. The deposition condition of ZTO thin films were controlled by RF power and post anneal temperature using rapid thermal annealing (RTA). The deposited and annealed films were characterized by X-ray diffraction (XRD), atomic force microscope (AFM), ultraviolet and visible light (UV-VIS) spectrophotometer.
-
In this study, we synthesized Au nanoparticles (AuNPs) in polyacrylonitrile (PAN) thin films using a simple annealing process in the solid phase. The synthetic conditions were systematically controlled and optimized by varying the concentration of the Au salt solution and the annealing temperature. X-ray photoelectron spectroscopy (XPS) confirmed their chemical state, and transmission electron microscopy (TEM) verified the successful synthesis, size, and density of AuNPs. Au nanoparticles were generated from the thermal decomposition of the Au salt and stabilized during the cyclization of the PAN matrix. For actual device applications, previous synthetic techniques have required the synthesis of AuNPs in a liquid phase and an additional process to form the thin film layer, such as spin-coating, dip-coating, Langmuir-Blodgett, or high vacuum deposition. In contrast, our one-step synthesis could produce gold nanoparticles from the Au salt contained in a solid matrix with an easy heat treatment. The PAN:AuNPs composite was used as the charge trap layer of an organic nano-floating gate memory (ONFGM). The memory devices exhibited a high on/off ratio (over
$10^6$ ), large hysteresis windows (76.7 V), and a stable endurance performance (>3000 cycles), indicating that our stabilized PAN:AuNPs composite film is a potential charge trap medium for next generation organic nano-floating gate memory transistors. -
We have deposited ZnO thin films by ultraviolet (UV) enhanced atomic layer deposition using diethylznic (DEZ) and water (H2O) as precursors with UV light. The atomic layer deposition relies on alternating dose of the precursor on the surface and subsequent chemisorption of the precursors with self-limiting growth mechanism. Though ALD is useful to deposition conformal and precise thin film, the surface reactions of the atomic layer deposition are not completed at low temperature in many cases. In this experiment, we focused on the effects of UV radiation during the ALD process on the properties of the inorganic thin films. The surface reactions were found to be complementary enough to yield uniform inorganic thin films and fully react between DEZ and H2O at the low temperature by using UV irradiation. The UV light was effective to obtain conductive ZnO film. And the stability of TFT with UV-enhanced ZnO was improved than ZnO by thermal ALD method. High conductive UV-enhanced ZnO film have the potential to applicability of the transparent electrode.
-
본 연구에서는
$HfO_2$ 와$ZrO_2$ 의 구조적 차이를 통한 Dielectric layer의 특성 변화에 대한 분석을 진행하였다.$HfO_2$ 와$ZrO_2$ layer는 용액 공정을 통해 만들고, 용액의 농도는 0.2 M로 제작하여 Spin Coating으로 소자를 제작하였다. 각 소자들의 구조적인 차이를 위해$HfO_2$ /$HfO_2$ ,$ZrO_2$ /$HfO_2$ ,$HfO_2$ /$ZrO_2$ ,$ZrO_2$ /$ZrO_2$ 층 순서로 제작되었다. 각 소자들의 Capacitance 값은 245.72, 259.81, 294.23,$312.12nF/cm^2$ 으로 측정 되었고, Leakage current 값은 1.01, 1.79, 0.09,$0.0910-1A/cm^2$ 으로 다소 높은 값으로 확인되었다. 또한 dielectric constant, k 값이 16.6, 17.6, 19.9, 21.2로 각각의 측정값들 모두 substrate쪽의 dielectric layer에 따라 비슷한 특성을 갖게 되는 것을 확인했다. 이를 통해 Electrode 쪽의 layer보다 Substrate 쪽의 layer의 영향이 더 큰 것을 알 수 있다. -
지구 온난화로 인한 기후변화 현상이 점차 가시화 되고 있는 가운데 탄산가스를 비롯한 온실가스의 배출을 저감하기 위한 연구개발 노력과 관심이 고조되고 있다. 지구 대기층이 가지는 이러한 온실효과는 산업화 경향이 두드러지면서 화석에너지의 사용 증대 등 인위적 요인들에 의해 많이 증가하게 되었다. 온실가스 중에서 산화이질소(N2O)는 이산화탄소(CO2)와 메탄(CH4) 다음으로 많이 배출되는 성분이며 지구온난화 효과는 이산화탄소 분자의 310배에 달한다. 본 연구에서는 반도체 미세 패터닝(Pattering)에 게이트 산화막의 두께가 점차 얇아짐에 따라 발생하는 문제점을 해결하고 특성을 향상시키기 위해 사용되는 질화산화막(SiON)을 증착 시, 기존 산화이질소(N2O) 대신 산화질소(NO)를 사용하여 대체 가능 여부를 평가하고자 하였다. 본 연구에서는 산화질소(NO) 사용량의 변화를 통하여 FT-IR 및 Refractive Index 측정하면서 기존 산화이질소(N2O)를 이용하여 구현된 질화산화막 막질과 결과를 비교하였고, 질화산화막 증착율 및 파티클 발생 수준을 비교하였다.
-
Device가 점점 Shrinkage 됨에 따라 미세 패터닝을 위하여 기존에 사용하던 박막은 Hardmask 로써 CD(Critical Dimension)가 제한적으로 이를 개선하기 위한 비정질 실리콘 (amorphous silicon)으로 대체하여 사용되는 Layer의 수가 증가하고 있다. 하지만 비정질 실리콘을 증착 시, 하부막에 따른 Adhesion 및 Hillocks과 같은 공정상에서 발생하는 문제들이 발생하게 되는데, 이는 소자의 특성을 떨어뜨리게 된다. 이러한 문제를 해결하고자 본 연구에서는 PECVD를 사용하여 비정질 실리콘 박막을 증착하였고, 그 특성을 분석하였으며, Adhesion 및 Hillock 개선을 위해 비정질 실리콘 박막 증착 전 처리를 최적화하여 특성을 개선하였다. 증착된 박막의 두께 및 굴절률은 Auto thickness measurement로 분석하였고, 표면 특성은 Field emission scanning electron microscopy(FE-SEM 그림 참고), 4 Point Bending TEST를 이용하여 분석을 수행하였다.
-
Hafnium Oxide (HfOx) has been attracted as a promising gate dielectric for replacing SiO2 in gate stack applications. In this paper, Metal-Oxide-Semiconductor (MOS) capacitor with solution processed HfO2 high-k material as a dielectric were fabricated. The solvent using
$HfOCl2{\cdot}8H2O$ dissolve in 2-Methoxy ethanol was prepared at 0.3M. The HfOx layers were deposited on p-type silicon substrate by spin-coating at$250^{\circ}C$ for 5 minutes on a hot plate and repeated the same cycle for 5 times, followed by annealing process at 350, 450 and$550^{\circ}C$ for 2 hours. When the annealing temperature was increased from 350 to$550^{\circ}C$ , capacitance value was increased from 337 to 367 pF. That was resulted from the higher temperature of HfOx which have more crystallization phase, therefore dielectric constant (k) was increased from 11 to 12. It leads to the formation of dense HfOx film and improve the ability of the insulator layer. We confirm that HfOx layer have a good performance for dielectric layer in MOS capacitors. -
Duong, Van Thiet;Nguyen, Thi Minh Hai;Nguyen, Anh Phuong;Dang, Duc Dung;Duong, Anh Tuan;Nguyen, Van Quang;Cho, Sunglae 318.2
FeM2X4 spinel structures, where M is a transition metal and X is oxygen or sulfur, are candidate materials for spin filters, one of the key devices in spintronics. Both the Fe and M ions can occupy tetrahedral and octahedral sites; therefore, these types of compounds can display various physical and chemical properties [1]. On the other hand, the electronic and magnetic properties of these spinel structures could be modified via the control of cation distribution [2, 3]. Among the spinel oxides, iron manganese oxide is one of promising materials for applications. FeMn2O4 shows inverse spinel structure above 390 K and ferrimagnetic properties below the temperature [4]. In this work, we report on the structural and magnetic properties of epitaxial FeMn2O4 thin film on MgO(100) substrate. The reflection high energy electron diffraction (RHEED) and X-ray diffraction (XRD) results indicated that films were epitaxially grown on MgO(100) without the impurity phases. The valance states of Fe and Mn in the FeMn2O4 film were carried out using x-ray photoelectron spectrometer (XPS). The magnetic properties were measured by vibrating sample magnetometer (VSM), indicating that the samples are ferromagnetic at room temperature. The structural detail and origin of magnetic ordering in FeMn2O4 will be discussed. -
Recently, the Al-doped ZnO (ZnO:Al) films are intensively used in thin film a-Si solar cell applications due to their high transmittance and good conductivity. The textured ZnO:Al films are used to enhance the light trapping in thin film solar cells. The wet etch process is used to texture ZnO:Al films by dipping in diluted acidic solutions like HCl or HF. During that process the glass substrate could be damaged by the acidic solution and it may be difficult to apply it for the inline mass production process since it has to be done outside the chamber. In this paper we report a new technique to control the surface morphology of RF-sputtered ZnO:Al films. The ZnO:Al films are textured with vaporized HF formed by the mixture of HF and H2SiO3 solution. Even though the surface of textured ZnO:Al films by vapor etching process showed smaller and sharper surface structures compared to that of the films textured by wet etching, the haze value was dramatically improved. We achieved the high haze value of 78% at the wavelength of 540 nm by increasing etching time and HF concentration. The haze value of about 58% was achieved at the wavelength of 800 nm when vapor texturing was used. The ZnO:Al film texture by HCl had haze ratio of about 9.5 % at 800 nm and less than 40 % at 540 nm. In addition to low haze ratio, the texturing by HCl was very difficult to control etching and to keep reproducibility due to its very fast etching speed.
-
ZrN 코팅은 TiN과 특성이 유사한 옅은 금색의 질화 박막이나 내식성이 우수하여 의료용, 자동차 부품, 항공부품, 장식용으로 적용되고 있다. 스테인레스계 의료용 기구나 생활기구의 항균성 기능이 부여된 표면처리는 아직 널리 보급되고 있지 않아 장식성과 내부식성이 뛰어난ZrN 박막에 구리를 함유시킴으로서 항균성의 변화를 관찰하고자 하였다. 진공 아크 이온플레이팅 방법으로서 ZrN을 성장시키면서 스퍼터링법에 의하여 Cu를 함유시키는 방법으로 실험을 실시하였다. 기재는 SUS 304를 사용하였고, 공정온도
$400^{\circ}C$ 에서 질소분압 1-5 Pa, 아크전류 90 A의 조건에서 Cu 스퍼터링 타겟의 전류를 1-7A 까지 변화하여 구리함유 ZrN 박막을 합성하였다. 구리 함류량에 따른 XRD를 통한 결정구조분석과 SEM/EDX를 통한 성분변화분석을 실시하였다. 구리함유 박막에 대해서 시간에 따른 대장균을 성장을 분석하여 기재인 SUS304, ZrN, 구리 함유 ZrN에 대한 대장균 항균성을 조사하였다. -
모바일 기기의 폭발적 증가세로 인해 플래시 메모리의 수요가 증가하고 있다. 낸드 플래시 메모리는 적은 전력 소모량과 높은 전기적 효율 때문에 많은 많은 연구가 이루어지고 있다. 반면에 stress-induced leakage current, positive-charge-assisted tunneling, thermally-assisted tunneling 등의 문제로 신뢰성이 저하되는 문제가 발생한다. 프로그램/이레이즈 동작이 반복되면 소자에서 발생하는 에러의 발생비율이 늘어나 신뢰성이 저하되게 된다. 비록 신뢰성 저하 메커니즘에 대한 연구가 많이 이루어졌으나, 워드라인 스트레스에 의한 프로그램 특성 저하에 대한 구체적인 연구가 진행되지 않았다. 본 연구에서는 플래시 메모리의 워드라인 스트레스로 인한 전기적 특성 감소 현상을 보기 위해, 플로팅 게이트의 두께를 변화시키면서, electron density와 depletion region 의 변화를 관찰하였다. 낸드 플래시 메모리의 전기적 특성을 멀티 오리엔테이션 모델을 포함한 3차원 TCAD 시뮬레이션을 이용하여 계산하였다. 프로그램/이레이즈 동작이 증가함에 따라, 플로팅 게이트에 공핍영역이 생기고, 블로킹 옥사이드와 게이트 사이에 의도하지 않은 트랩이 생기게 된다. 이로 인해 프로그램/이레이즈 동작이 증가함에 따라, 플로팅 게이트의 electron density가 감소하는 경향을 보았다. 이 연구 결과는 낸드 플래시 메모리 소자에서 신뢰성을 향상시키고 프로그램 특성을 증진시키는데 도움이 된다.
-
유기물/무기물 나노 복합체를 이용한 전자 소자는 간단한 공정과 고집적 및 플렉시블 응용 가능성으로 차세대 전자 소자로서 응용 연구가 많이 시도되고 있다. 무기물의 경우 전하 전송 메커니즘과 전기적 특성에 영향을 미치는 다양한 요인들에 대한 연구가 많이 진행되었지만 유기물의 경우 소자의 특성에 집적적으로 영향을 미치는 요인들에 대한 이론적 연구가 미흡하다. 본 연구에서는 금속/유기물 경계면의 전하전송, 트랩밀도 및 전하 이동도가 소자의 전기적 특성에 어떠한 영향을 미치는지 분석하였다. 유기 메모리 소자의 전하 전송 메커니즘을 분석하기 위해 PMMA에 나노 입자를 분산시킨 유기-나노 복합층을 사용하여 유기 메모리 소자를 제작하였고 SCLC 이론을 이용하여 전기적 특성을 분석하였다. 또한 전극과 유기-나노 복합층 사이에 C60 층을 삽입하여 트랩밀도와 전하이동도가 유기물 전자 소자에 어떻게 영향을 미치는지에 대한 이론적인 연구를 하였다. SCLC 이론을 이용하여 계산한 current density -voltage (J-V) 특성 이론값과 실험값의 비교 분석으로 유기물전자 소자의 전기적 동작 특성에 대한 메커니즘을 규명하였으며, 유기물 메모리 소자에서 트랩밀도와 분포가 전기적 특성에 미치는 영향에 대하여 연구하였다.
-
높은 집적도를 가진 소자에 대한 요구가 커지면서 낸드 플래시 메모리에 대한 연구가 많이 이루어 지고 있다. 그러나 소자의 크기가 작아지면서 게이트 누설 전류, 셀간 간섭, 단 채널 효과 등과 같은 문제들이 발생한다. 이에 따라 제한된 공간에서의 coupling ratio값을 증가시켜야 하는 문제가 주목 받으면서 얇은 절연층에 대한 많은 연구가 진행되고 있다. 본 연구에서는 절연층 구조를 비대칭으로 사용한 낸드 플래시 메모리의 전기적 특성을 멀티 오리엔테이션 모델을 포함한 3차원 TCAD 시뮬레이션을 이용하여 계산하였다. 메모리 소자가 각 셀 간의 절연층을 가질 때 낮은 셀 간 간섭과 높은 coupling ratio 값을 가진다. 절연층 구조의 높이와 방향의 두께가 증가할수록 게이트 누설 전류의 값이 감소하였다. 또한 비대칭 절연층 구조의 플래시 메모리에서 플로팅 게이트의 on-current 레벨과 전위 값이 기존의 플래시 메모리에 비해 크게 나타나는 시뮬레이션 결과값을 관찰하였다. 비대칭 절연층 구조를 가지는 플래시 메모리는 게이트 누설 전류에 영향을 미치는 절연층 주위의 전기장의 값이 기존 구조에 비해 약 30 % 감소하였고 같은 프로그램 동작 전압에서 플로팅 게이트에 주입되는 전하의 양 또한 증가하였다. 이 연구 결과는 낸드 플래시 메모리 소자에서 게이트 누설 전류 문제를 감소시키고 프로그램 특성을 증진시키는데 도움이 된다.
-
유기물을 사용한 차세대 전자 소자는 간단한 공정과 3차원의 고집적, 그리고 플렉서블한 특성을 가지고 있다. 이러한 유기물을 사용한 차세대 전자 소자를 설계하기 위해서는 유기물 내에서의 전하 전송을 이해하는 것이 중요한데, 특히 유기물의 전자이동도에 대한 연구가 필요하다. 본 연구에서는 시뮬레이션을 위하여 소자의 길이를 30-300 사이트로 설계하였고, 사이트간 거리를
$3{\AA}$ 으로 설정하였다. 유기물 내의 트랩을 가우시안 분포로 분포시켰고, 트랩이 퍼져있는 정도와 총량을 조절하였다. 그리고 몬테카를로 시뮬레이션 방법으로 계산하여 유기물 내에서 트랩이 전자이동도에 미치는 영향을 분석하였다. Miller and Abrahams 식을 이용하여 천이확률을 계산하였는데, 트랩분포가 일정할 경우 전계가 증가함에 따라 전자의 이동도가 증가하였다. 이때 전계의 증가에 따라 유기물 내 트랩 간 천이 확률이 증가하였는데, 이 때문에 전자의 이동도가 증가함을 알 수 있었다. 그러나 전계가 일정 값 이상으로 중가 할 때는 전자의 이동 속도 또한 거의 변하지 않아 전자의 이동도는 오히려 줄어들었다. 트랩의 분산도를 증가시켰을 경우 낮은 전계 영역에서는 전자 이동도가 작고, 트랩의 분산도가 30 mev로 작을 경우에는 일정온도이상에서는 전자 이동도가 일정하게 유지되었다. 그리고 분산도와 무관하게 전계가 증가하였을 때 전자 이동도의 변화는 거의 없었다. 이와 같은 시뮬레이션을 통하여 유기물 내에서 트랩과 온도가 전자 이동도에 미치는 영향을 확인할 수 있었다. 이러한 결과를 토대로 전하전송을 이해하면 유기물질을 이용한 소자 설계 시 소자 특성 향상에 도움을 줄 수 있을 것으로 기대된다. -
내부지름이 2.0 mm 이하인 PTFE와 PE 튜브에 진공장치를 이용하여 튜브 내부의 압력을 감압하여 진공상태를 형성하였다. 진공기밀 후에 반응성 가스를 인입하여 튜브 외부에 장착된 전극을 통하여 고전압의 AC 전압을 인가하여 튜브 내부에 선택적으로 유전체 장벽 방전을 유도하였다. 본 연구에서는 유전율이 3.0 이하로 낮은 PTFE와 PE 튜브에 유전체 장벽방전이 유도될 때 나타나는 전압과 전류의 파형을 분석하여 방전의 개시와 방전의 형태를 조사하였다. 주파수 40 kHz인 AC 전원(PEII, Advanced Energy)과 Loadmatch 모듈을 이용하여 4 kV 이하의 전압을 인가하여 플라즈마 방전 유도하였다. 튜브에 인가고전압 프로브와 전류 프로브를 이용하여 오실로스코프를 I-V 분석을 실시하였고, 실험 결과 대기압 방전에서 유도되는 유전체 장벽방전의 I-V 특성과 달리 방전의 형태가 유전체장벽방전과 글로우방전이 혼합된 형태로 나타났다. 또한 유전체 장벽방전을 통해 튜브 내부에 형성되는 플라즈마에 대한 분석으로 OES 광분석을 실시하여, 방전 시간과 전압 변화에 따른 고분자 표면으로부터 방출되는 활성종에 대한 분석을 실시하였다.
-
스퍼터 타깃 (target)을 스퍼터링하여 박막을 형성하는 스퍼터 증착은 고체 박막 형성 방법의 하나로서 널리 사용되고 있다. 타깃을 구성하는 합금 원소의 스퍼터링율 (sputtering yield)의 차이 때문에 스퍼터 증착이 진행됨에 따라 타깃 표면의 조성이 변화하지만 일반적으로 원소 간의 표면 농도 및 스퍼터링율의 차이 효과가 서로 상쇄되므로 증착되는 합금 박막의 조성은 타깃의 조성과 일치한다. 그러나 갈륨 (Ga)을 포함하는 합금 타깃을 스퍼터링하는 경우에는 갈륨의 낮은 녹는점 특성 때문에 타깃 조성보다 갈륨의 농도가 더 높은 갈륨 합금 박막이 형성되는 현상이 최근에 보고되었다 [1]. 본 연구에서는 GeGa 및 GeSbTe 타깃을 스퍼터링한 후의 타깃 표면형상 및 성분의 변화를 조사함으로써 마그네트론 스퍼터링 기술로 갈륨 합금 박막을 형성할 때 타깃 표면에서 발생하는 불균일한 조성 변화 특성을 고찰한다. GeSbTe 타깃에 비해 GeGa 타깃은 횡적으로 구분되는 영역이 뚜렷하고 각 영역에서의 Ge와 Ga의 농도가 최대 25 at%의 차이를 나타낸다. 이러한 국부적인 미세구조와 Ge 및 Ga 농도의 차이를 비교 분석하여 갈륨 합금 타깃 표면에서 발생하는 불균일한 조성 변화 현상의 메커니즘을 설명한다.
-
Application of magnetic fields is important to characterize the carrier dynamics in semiconductor quantum structures. We performed photoluminescence (PL) measurements from an InGaP-AlInGaP single quantum well under pulsed magnetic fields to 50 T. The zero field interband PL transition energy matches well with the self-consistent Poisson-Schr?dinger equation. We attempted to analyze the dimensionality of the quantum well by using the diamagnetic shift of the magnetoexciton. The real quantum well has finite thickness that causes the quasi-two-dimensional behavior of the exciton diamagnetic shift. The PL intensity diminishes with increasing magnetic field because of the exciton motion in the presence of magnetic field.
-
Two dimensional transition-metal dichalcogenides (TMDs) semiconductors are attractive materials for optoelectric devices because of their direct energy bandgap and transparency. To investigate the feasibility of transparent p-n junctions, we have fabricated a p-n heterojunction consisting of p-type WSe2 and n-type MoS2 flakes since WSe2 and MoS2 with proper electrode metals exhibit p-type and n-type behaviors, respectively. These heterojunctions exhibits gate-tunable rectifying behaviors and photovoltaic effects (ECE ~ 0.2%) indicating that p-n junctions were formed. In addition, photocurrent and photovoltaic effects were observed under light illumination, which were dependent on the gate voltage. In addition, the photocurrent mapping images indicate that the photovoltaic effects comes from the junction area. Possible origins of gate-tunability are discussed.
-
다른 재료에 비해 에너지 변환 효율의 관점에서 높은 경쟁력을 가진 결정질 실리콘은 지난 수십 년 동안 그 특성이 태양전지 분야에 널리 이용되어 왔다. 하지만 결정질 실리콘 웨이퍼는 일반적으로 제조 단계에서 많은 양의 에너지를 소비하고 절단 단계에서 절단 손실(Kerf-loss)이 발생된다. Epoxy Resin을 이용한 Kerf-less Wafering은 초박형 실리콘 웨이퍼 제조 기술 중 하나로, 비교적 간단한 장비와 공정을 통하여 절단 손실 없이
$50{\mu}m$ 이하의 초박형 실리콘 웨이퍼를 얻을 수 있는 기술이다. 실리콘과 Epoxy Resin 간의 열팽창 계수 차이를 이용하여 초박형 실리콘을 박리 시키는 기술로, 실리콘 기판 위에 Epoxy Resin으로 stress inducing layer를 올려 공정을 진행한다. stress inducing layer를 경화시키는 열처리가 끝나고 급냉되는 과정에서 stress inducing layer에 의해 실리콘 기판에 큰 응력이 가해지게 되고 실리콘 기판에 crack이 발생된다. 공정이 계속 됨에 따라 발생된 crack은 실리콘 표면과 평행한 방향으로 전파 되고 초박형 실리콘 layer가 실리콘 기판에서 박리 된다. 본 실험에서 중요한 공정 변수로는 stress inducing layer의 구성성분 및 두께, 열처리 온도 및 시간, cooling rate 등이 있다. 이러한 공정 변수들을 조절 하여 Epoxy Resin을 이용하여$100{\mu}m$ 이하의 박리된 wafer를 얻을 수 있었다. 박리된 wafer의 단면과 두께를 Scanning Electron Microscopy(SEM)을 통해 관찰 하였고, 이를 통해 초박형 실리콘 박리 공정에 대한 연구를 진행하였다. -
Silicon nitride (SiNx:H) films are generally used as passivation layer on solar cell and they are usually made by plasma enhanced chemical vapor deposition (PECVD). In this study, we investigated the properties of silicon nitride (SiNx:H) films made by PECVD. Effects of mixture ratio of process gases with silane (SiH4) and ammonia (NH3) on the passivation qualities of silicon nitride film are evaluated. Passivation properties of SiNx:H are focused by making antireflection properties identical with thickness and refractive index controlled. The absorption coefficient of each film was evaluated by spectrometric ellipsometery and the minority carrier lifetimes were evaluated by quasi-steady-state photo-conductance (QSSPC) measurement. The optical properties were obtained by UV-visible spectrophotometer. The interface properties were measured by capacitance-voltage (C-V) measurement and the film components were identified by Fourier transform infrared spectroscopy (FT-IR) and Rutherford backscattering spectroscopy detection (RBS) - elastic recoil detection (ERD).
-
The electronic structures of a hybrid magnetic-electric quantum ring and two terminal conductance taking into account the resonant backscattering via both magnetic and electric edge channels are studied. The hybrid magnetic-electric quantum ring is formed by a magnetic quantum dot combined with an additional antidot electrostatic potential at the center of the dot. Electrons are both magnetically and electrically confined to the plane. The antidot potential repelling electrons from the center of the dot plays an important role in the energy spectra and magnetoconductance. The angular momentum transition in the ground state and the behavior of magnetoconductance due to a change of the antidot potential are shown in comparison with the conventional magnetic quantum dot.
-
Effect of annealing temperature on Al2O3 layer for the passivation of crystalline silicon solar cellNam, Yoon Chung;Lee, Kyung Dong;Kim, JaeEun;Bae, Soohyun;Kim, Soo Min;Park, Hyomin;Kang, Yoonmook;Lee, Hae-Seok;Kim, Donghwan 335.2
The fixed negative charge of the Al2O3 passivation layer gives excellent passivation performance for both n-type and p-type silicon wafers. For the best passivation quality, annealing is known to be a prerequisite step and a lot of studies concerning annealing effect on the passivation characteristics have been performed. Meanwhile, for manufacturing a crystalline silicon solar cell, firing process is applied to the Al2O3 passivation layer. Therefore, study on not only annealing effect but also on firing effect is necessary. In this work, Al2O3 passivation performance (minority carrier lifetime) for p-type silicon wafer was evaluated with Quasi-Steady-State Photoconductance(QSSPC) measurement after annealing at different temperatures. For the samples which showed different aspects, C-V measurement was performed for the cause - whether it is due to the chemical effect or field-effect. The change in Al2O3 passivation property after firing processes was investigated and the mechanism for the change could be estimated. -
단일벽 탄소나노튜브(Single-walled carbon nanotubes, SWNTs)는 나노 스케일의 크기와 우수한 물성을 갖고 있어, 전자, 에너지, 바이오 등 다양한 분야로의 응용이 기대되고 있다. 이러한 응용의 실현을 위해서는 경제적, 산업적인 면에서 보다 손쉬운 합성법이 요구된다. SWNTs의 합성에는 대면적의 균일한 CNTs를 합성할 수 있다는 장점이 있는 열화학기상증착법(Thermal chemical vapor deposition, TCVD)이 가장 일반적으로 사용되고 있다. 하지만 탄화수소가스를 효율적으로 분해하기 위하여
$900^{\circ}C$ 이상의 고온공정이 요구되며, 이는 경제적, 산업적인 면에서 사용이 제한적이다. 따라서 저결함, 고수율의 SWNTs를 저온합성 할 수 있는 공정의 개발이 지속적으로 필요하다. 본 연구에서는, TCVD법을 이용하여 에틸렌 원료가스로 SWNTs의 저온합성 가능성을 확인하였다. 합성을 위한 기판과 촉매로는 실리콘 산화막 기판(SiO2/Si wafer)에 철 나노입자를 지닌 ferritin을 스핀코팅 후 산화하여 이용하였다. 저온합성 공정의 변수로는 합성온도와 원료가스인 에틸렌의 분율을 설정하여, 변수가 SWNTs의 결정성과 수율에 미치는 영향을 고찰하였다. 합성된 SWNTs의 분석의 용이함과 손지기(Chirality)의 제어 가능성을 확인하기 위하여 나노 다공성 물질인 제올라이트(Zeolite)를 보조 기판으로 사용하였다. 실험결과 에틸렌 원료가스로 합성한 SWNTs는 메탄을 원료가스로 사용한 경우보다 낮은$700^{\circ}C$ 부근에서도 합성이 가능함을 확인하였다. 또한 에틸렌의 분율과 합성 시간의 정밀한 제어를 통해 SWNTs의 합성온도를 더욱 감소시키는 것도 가능할 것으로 예상된다. -
역 구조 유기태양전지는 가격이 저렴하고 우수한 경량성, 간단한 제조공정 그리고 휘어짐이 가능한 소자를 제작할 수 있는 것이 큰 장점이다. 또한, 광활성층과 전극 사이에 표면개질 물질을 도입하여 에너지장벽을 줄임으로써 소자 전반적인 전하수송을 증가시킬 수 있게 되었다. 나아가 용액공정과 저온 공정을 통해 유기 광전자소자의 roll-to-roll 대면적화 기술을 기반으로 가격대비 성능을 개선시켰다. 본 연구에서는 CdSe 또는 CdSe@ZnS 양자점을 표면개질 유기물질인 polyethylenimine ethoxylated (PEIE)에 정전기적 인력의 결합을 통한 양자점 단일층을 얻었고 이는 전기수송층, 광흡수층 그리고 표면플라즈몬 공명(Surface plasmon resornace)의 역할을 수행하게 되면서 태양전지 전반적인 성능 향상을 관찰 할 수 있었고 양자점 단일층으로 인해 20%가 증가된 에너지변환효율 얻었다. 또한 단일층으로 형성된 CdSe 또는 CdSe@ZnS 양자점 은
$F{\ddot{o}}rster$ resonance energy transfer (FRET) 메커니즘을 통해 PC60BM과 P3HT의 Photo luminescence 세기를 99% 감쇄시켰고, CdSe 양자점을 유기 광활성층인 PTB7:PC71BM에 적용하여 8.1%의 수치를 나타내었다. -
반도체 소자의 크기가 점점 기술적인 한계에 도달함에 따라 실리콘을 대신할 새로운 물질에 대한 연구가 이루어지고 있다. 그러한 물질 중 하나로 주목 받고 있는 그래핀은 탄소 원자들이 육각형 모양으로 공유결합을 하고 있는 2차원 소재이며 전기적, 기계적, 열적으로 우수한 성질을 지니고 있다. 하지만 그래핀의 전기적인 특성은 외부 환경에 영향을 받기 때문에, 그래핀을 실제 반도체 소자에 적용시켜 전기적인 성능 및 동시에 안정성을 향상시키기 위해서는, 그래핀에 보호막을 형성시켜야 한다. 본 연구에서는, 그래핀 위에 dielectric을 이용한 보호막을 형성시켜 graphene의 전기적인 특성 변화 및 안정성을 확인하였다.
-
Al doped ZnO (AZO) thin films were deposited on Si substrates with rod-shaped-surface by pulsed laser deposition method (PLD). Si-rods were prepared through chemical etching. To analyze the influence on the formation of the rod structure, samples with various chemical etching conditions such as AgNO3/HF ratio, etching time, and solution temperature were prepared. The morphology of Si-rod structures were examined by FE-SEM. Fig. 1 shows a typical structure of n-AZO/p-Si-rod juncions. The fabricated n-AZO/p-Si-rod devices exhibited p-n diode current-voltage characteristics. We compared the I-V characteristics of n-AZO/p-Si-rod devices with the samples without Si-rod structure.
-
본 연구에서는 금속-절연막-반도체 (MIS) 형태를 이용한 비휘발성 메모리 (NVM) 소자의 메모리 특성 향상을 위해 수소화 (Hydrogenation) 처리된 게이트산화막을 블로킹 산화막으로 응용하였다. 기존 연구의 경우 저온 공정시 게이트산화막의 고품위 전기적 특성 확보에 어려움이 있었다. 하지만 이번 연구에서는 게이트산화막 형성 시 H2 또는 NH3가스를 함께 주입시켜 Si-H 결합의 증대를 통한 passivation 효과를 얻을 수 있었다. 형성된 게이트산화막의 전기적 특성을 확인하기 위해 우선적으로 박막트랜지스터 (TFT)를 제작하여 전기적 특성을 확인하였다. 수소화 처리된 게이트산화막을 이용한 TFT 경우 그렇지 않은 게이트산화막을 이용한 TFT 보다 약 5V의 threshold voltage (Vth) 이득이 있으며 Vth의 hysteresis 특성 역시 거의 0V로 매우 안정적이었다. MIS 형태의 NVM 소자의 경우 -20V에서 +15V, +15V에서 -20V로 sweep하여 측정한 flatband voltage (Vfb)의 변화량 역시 약 88%의 메모리 특성 이득이 있음을 확인하였다.
-
본 연구에서는 Ti/Al/Ti 금속 배선의 Electro-Migration(EM) 현상을 분석했다. Van der Pauw, Greek Cross bridge, Straight line linear line bridge를 결합한 패턴을 제작하여, 온도 변화에 따른 EM의 발생 시간(Failure Time)을 측정했다. 측정 조건은 W/L=3.5/300 um 소자에 전류 밀도(current density)를
$J=10^4A/cm^2$ 로 고정하고, 온도를 300 K, 350 K, 400 K로 가변 시켰다. 측정된 Cumulative Failure의 50 % 되는 지점(Median Time To Failure; MTTF)은 각각 22.3시간, 18.46시간, 15.4시간으로 온도가 300 K에서 400 K로 증가함에 따라 MTTF가 6.9시간 감소했다. 이 결과를 통해 Black방정식에서 온도 변수가$t_{50}$ 에 영향을 주는 것을 확인했다. 온도가 증가함에 따라 더 많은 전자들이 원자에 충돌하면서 운동량을 전달하고, 더 많은 원자들이 이주되면서 EM 발생 시간이 감소했다. -
Recently, graphene has shown great characteristic of electrical conductivity, strength, and elasticity. However, due to edge unstable and metallic properties, it is difficult to use as a semiconductor devices. The solution of such problems has been sought a way to use the boron nitride in a stacked layer structure. By graphene and boron nitride stacked layer structure on silicon substrate, the electron mobility is improved and deteriorated results in semiconductor properties. In this study, to make layered structure, we developed direct synthesis method for graphene on boron nitride. By using Raman technique, the directly stacked layer structure is in good agreement with measurements on each of the attributes.
-
Fully and partially grown hexagonal boron nitride (h-BN) on Cu foil, synthesized by chemical vapor deposition method, was studied using Raman and SEM measurements. Fully and partially grown samples were successfully made from borane-ammonia complex to controlling pressure and growth time. The fully grown h-BN and partially grown h-BN exhibits a ~ 1370 cm-1 B-N vibrational mode (E2g). Especially, well-aligned triangular h-BN monolayer was observed on some domain of Cu foil using SEM measurements.
-
구리 피막은 열 및 전기를 잘 전달하는 특성으로 인해 전기 배선이나 Heat Sink 재료 등에 이용되고 있다. 최근에는 전자파 차폐나 FCCL (Flexible Copper Clad Laminate) 등의 피막으로 널리 이용되면서 연속 코팅 및 후막 제조를 위한 고속 소스의 필요성이 증가하고 있다. 연속코팅 설비에 적용하거나 후막을 경제적으로 제조하기 위해서는 정지상태의 기판을 기준으로 시간당
$100{\mu}m$ 이상의 증착 속도가 요구된다. 기존 마그네트론 스퍼터링 소스의 경우 일반적으로 증착율이 시간당$20{\mu}m$ 이내이며, 고전력을 이용하는 소스의 경우도$60{\mu}m$ 를 넘지 못하고 있다. 본 발표에서는 자기장 시뮬레이션을 통해 자석의 배열을 최적화하고 냉각 효율을 고려한 소스 설계를 통해 고속 스퍼터링 소스를 제작하고 그 특성을 평가하였다. 제작된 소스는 구리 코팅을 위한 스퍼터링 공정 조건을 도출하고 다양한 기판에$20{\mu}m$ 이상의 구리 후막을 코팅하여 미소 형상 및 코팅 조직을 분석하였다. -
Alumina (Al2O3) doped zinc oxide (ZnO) films (AZO) have been prepared from 2 wt.% Al2O3 doped ZnO target by DC magnetron sputtering at a 2 mTorr (0.27 Pa) chamber pressure in (15 sccm) argon ambient. We obtained films of various opto-electronic properties by variation of target angle from 32.5o to 72.5o. At lower target angle deposited films show higher values in optical gap, mobility of charge carrier, carrier concentration, crystallite grain size, transmission range of wavelength, which are favorable characteristics of AZO as a transparent conducting oxide (TCO). At higher target angle the sheet resistance, work function, surface roughness for the AZO films increases. Measured haze ratio of the films changed lower to higher and size of characteristic surface structure of as deposited film ranges from ~40 nm to ~300 nm. By a combination of low and high target angle we obtained a textured TCO film with high conductivity.
-
본 연구에서는 열처리(Thermal Dewetting Process)와 빗각 증착(Oblique angle deposition)을 이용하여 비주기 서브파장 구조물을 마이크로 렌즈 형태의 유리 기판 상부에 제작하였다. 먼저
$2{\times}2cm2$ 크기의 유리 기판에 기존 리소그래피 공정으로 원기둥 형태의 감광액을 형성한다. 이후 Hot-plate로$180^{\circ}C$ 에서 90초간 열을 가해 지름이$20{\mu}m$ 인 반구형태로 변형시킨 뒤 반응성이온식각 공정을 진행하여 마이크로 렌즈를 제작한다. 렌즈의 표면에 나방 눈 구조를 형성하기 위해 전자빔 증착으로 15nm의 은 박막을 쌓은 뒤$500^{\circ}C$ 에서 1분간 열처리 공정을 진행하였다. 열이 가해졌을 때 은 박막은 표면자유에너지를 최소화하기 위해 나노 크기의 덩어리진 입자 형태로 변화한다. 여기서 형성되는 나노입자의 크기가 렌즈 표면 중심에서 가장자리로 갈수록 작아진다는 것을 주사전자현미경을 통해 확인하였다. 증착 각도가 증가할수록 열처리 공정 후의 은 나노입자의 크기가 점점 작아진다는 것을 검증하기 위해 은 박막의 증착 각도를$0^{\circ}$ ,$35^{\circ}$ ,$55^{\circ}$ ,$70^{\circ}$ 로 증착 후 열처리 공정을 진행하여 확인하였다. 비스듬하게 증착되어 형성된 박막은 다공형태로 낮은 밀도를 가지는데 이는 박막 두께 감소를 일으킨다. 따라서 증착 각도가 증가할수록 열처리 공정 후의 은 나노입자의 크기는 점점 작아진다. 이후 은 나노입자를 마스크로 하여 다시 반응성이온식각 공정을 진행하였으며 식각 후 나머지 은 나노입자들은 HNO3용액에서 1분간 처리하여 제거하였다. 제작된 구조물의 평균 직경과 크기는 각각 ~220nm 및 ~250nm인 것으로 확인하였다. 위와 같은 공정을 통해 다양한 크기를 가진 비주기 서브파장 구조물을 제작할 수 있다. 구조물의 주기가 파장 길이보다 짧을 경우 분산이 최소화되며 넓은 파장 대역에서 무반사 효과를 얻을 수 있다. 이 공정은 마스크를 통한 리소그래피의 한계를 극복할 수 있으며 여러 곡면형 표면에 적용가능한 장점이 있다. 또한 프리즘, 렌즈, 광섬유와 같은 광소자의 광투과율을 향상시키는데 이용될 수 있다. -
The rear side contact recombination in the crystalline silicon solar cell could be reduced by back surface field. We formed polycrystalline silicon as a back surface field through crystallization of amorphous silicon. A thin silicon oxide applied to the passivation layer. We used quasi-steady-state photoconductance measurement to analyze electrical properties with various annealing condition. And, blister formed on surface of wafer during the annealing process. We observed the blister after varied annealing process with wafer of various surface. Shape and density of blister is influenced by various annealing temperature and process time. As the annealing temperature became higher, the average diameter of blister is decreased and total number of blister is increased. The sample with the
$600^{\circ}C$ annealing temperature and 1 min annealing time exhibited the highest implied open circuit voltage and lifetime. We predicted that the various shape and density of blister affects the lifetime and implied open circuit voltage. -
Screen printing is commonly used to form the electrode for crystalline silicon solar cells. However, it has caused high resistance and low aspect ratio, resulting in decrease of conversion efficiency. Accordingly, Ni/Cu/Ag plating method could be applied for crystalline silicon solar cells to reduce contact resistance. For Ni/Cu/Ag plating, laser ablation process is required to remove anti-reflection layers prior to the plating process, but laser ablation results in surface damage and then decrease of open-circuit voltage and cell efficiency. Another issue with plating process is ghost plating. Ghost plating occurred in the non-metallized region, resulting from pin-hole in anti-reflection layer. In this paper, we investigated the effect of Ni/Cu/Ag plating on the electrical properties, compared to screen printing method. In addition, phosphoric acid layer was spin-coated prior to laser ablation to minimize emitter damage by the laser. Phosphorous elements in phosphoric acid generated selective emitter throughout emitter layer during laser process. Then, KOH treatment was applied to remove surface damage by laser. At this step, amorphous silicon formed by laser ablation was recrystallized during firing process and remaining of amorphous silicon was removed by KOH treatment. As a result, electrical properties as Jsc, FF and efficiency were improved, but Voc was lower than screen printed solar cells because Voc was decreased due to surface damage by laser process. Accordingly, we expect that efficiency of solar cells could be improved by optimization of the process to remove surface damage.
-
Recently, in order to improve the performance of the colloidal quantum dot solar cells (CQDSCs), various efforts such as the modification of the cell architecture and surface treatment for quantum dot (QD) passivation have been made. Especially, the incorporation of halides into the QD matrix was reported to improve the performances significantly via passivating QD trap states that lower the life-time of the minority-carrier. In this work, we fabricated a lead sulfide (PbS) QD bilayer treated with different ligands and utilized it as a photoactive layer of the CQDSCs. The bottom and top PbS layer was treated using metal iodide (
$MI_x$ and butanedithiol (BuDT), respectively. All the depositions and ligand treatments were carried out in air using layer-by-layer spin-coating process. The fabrication of the active layers as well as the n-type zinc oxide (ZnO) layer was successfully carried out on the bendable indium-tin-oxide (ITO)-coated polyethylene terephthalate (PET) substrate, which implies that this technique can be applied to the fabrication of flexible and/or wearable solar cells. The power conversion efficiency (PCE) of the CQDSCs with the architecture of$PET/ITO/ZnO/PbS-MI_x/PbS-BuDT/MoO_x/Ag$ reached 4.2 %, which is significantly larger than that of the cells with single QD (PbS-BuDT) layer. -
While conventional electronic devices have been fabricated on the rigid and brittle Si based wafer as a semiconducting substrate, future devices are increasingly finding applications where flexibility and stretchability are further integrated to enable emerging and wearable devices. To achieve high flexibility and stretchability, various approaches are investigated such as polymer based conducting composite, thin metal films on the polymer substrate, and structural modifications for stretchable electronics. In spite of many efforts, it is still a challenge to identify a solution that offers both high stretchability and superior electrical properties. In this paper, we introduce a highly stretchable entangled-mesh graphene showing a potential to address such requirements as stretchability and good electrical performance. Entangle-mesh graphene was synthesized by CVD graphene on the Cu foil. To analyze the mechanical properties of entangled-mesh graphene, endurance and stretching tester have been used.
-
Graphene quantum dots (GQDs) have attracted much attention because of various advantages such as cost-effectiveness of synthesis, low toxicity, and photostability. The origins of photoluminescence (PL) in GQDs were suggested as the intrinsic states for localized sp2 carbon domains and the extrinsic states formed by oxygen-functional groups.[1,2] Nevertheless, it is still unclear to understand the information of electric band structure in GQD. Here, we observed excitation energy induced S-shaped PL behavior. The PL peak energy position shows an S-shaped shift (redshift-blueshift-redshift) as function of the excitation wavelengths. From various samples, we only observed S-shaped PL shift in the GQDs with both luminescent origins of intrinsic and extrinsic states. Therefore, this S-shaped PL shift is related to different weight of intrinsic and extrinsic states in PL spectrum depending on the excitation wavelengths. This would be the key result to understand the electric band structure of the GQDs and its derivatives.
-
양자점은 나노미터 크기의 반도체 결정으로 밴드갭에 따라 광학적, 전기적 성질이 달라지는 독특한 성질을 가지는 형광물질으로 활발히 연구되고 있다. 중금속을 기반으로 한 양자점은 높은 발광효율과 광안전성을 가지며, 가시광선 영역에서 빛을 내는 특징을 가지고 있다. 그러나 중금속을 사용하기 때문에 독성이 있어 인체나 환경에 유해하여 응용 연구에 제한적이다. 반면에, 탄소 기반의 양자점은 중금속 기반의 양자점과 비슷한 성질을 가질 뿐만 아니라, 높은 용해도와 낮은 독성으로 인해 생체적합성이 높다는 장점이 있다. 이를 이용하여 발광다이오드(LEDs), 태양전지, 광촉매 뿐만 아니라 바이오이미징, 바이오센서 등 생물학분야에도 응용 될 수 있다. 본 연구에서는 Bottom-up 합성 방법으로 유기전구체를 이용하여 질소를 함유하고 있는 양친매성 탄소 양자점(N-GQDs)을 합성하였다. 합성에 사용한 유기전구체는 기존에 보고된 유기전구체와 다르게 반응 진행 중에도 pH 측정 결과 중성을 나타내며, 반응 온도(
$225^{\circ}C$ )와 유사한 온도에서도 pH 값은 여전히 6.0 이상의 값을 나타냈다. 중성을 띄는 특징으로 인해 추가적인 산제거 과정이나 표면안정화 과정이 필요 없다는 장점을 가지고 있다. 합성된 N-GQDs는 높은 결정성의 원형구조를 가지며, 원자힘현미경(AFM) 분석을 통해 높이가 ~ 1.5 nm 미만으로 3층 이하의 두께로 형성되었음을 확인하였다. 또한, 적외선 분광법(FT-IR) 분석을 통해 O-H기, 방향족 고리의 C = C (또는 C = N)기 및 C-N기가 각각 ~3250, ~1670과 ~1140 cm-1에서 확인할 수 있다. 합성된 양자점을 유기태양전지의 active layer에 소량(2 wt%) 첨가하여 양자점의 광학적, 전기적 성질을 확인하였다. 비교군 유기태양전지보다 N-GQDs가 첨가된 유기태양전지의 외부양자효율(PCE)이 7.3%에서 8.4%로 약 20%가 증가하는 것을 보였다. 이는 양자점이 상대적으로 흡수가 약한 단파장 영역의 빛을 흡수하고 PL을 내어 active layer로 에너지 트랜스퍼 현상이 일어나 전자전달을 원활하게 해 주기 때문이다. 앞으로 본 연구의 가능성과 추가적인 연구를 통해 더 많은 분야에 응용되기를 기대한다. -
In recent times, much effort has been concentrated on trivalent rare-earth ions activated ceramics or oxide phosphors to develop display industries due to their promising applications in optoelectronic devices and field-emission displays. To prepare efficient phosphors, citrate sol-gel method is one of the best synthetic methods. Green and blue emissive CaLa2ZnO5:RE3+ nanocrystalline materials are synthesized by a citrate sol-gel method. After the samples annealing at
$1100^{\circ}C$ , morphological and structural properties are investigated by scanning electron microscope images and X-ray diffraction patterns, respectively. At low electron beam voltage of <5 kV, the visible photoluminescence properties are obtained. Various concentrations of the RE3+ ions exhibited their characteristic emission peaks at different excitation wavelengths, respectively. Similarly, at high electron beam anodic voltage, the cathodoluminescence properties are studied as a function of acceleration voltage and filament current. The chromaticity coordinates are calculated for the optimized CaLa2ZnO5 nanocrystalline luminescent materials. -
다공성 물질은 동공의 크기에 따라 미세동공(Micropore), 메조동공(Mesopore), 거대동공(Macropore)으로 나누어 분류한다. 다공성 재료의 장점은 높은 비표면적으로써, 촉매, 센서, 연료전지 전극, 에너지 저장장치 등으로의 이용 가능성을 보여주는 연구가 활발히 보고되고 있다. 종래의 연구는 두 가지 이상의 원소로 구성된 박막을 제작한 후 전기화학적 분해법, 선택적 용해법 등 습식공정을 통해 다공성 구조체를 제작하였다. 하지만 본 연구에서는 Au, Ag 타겟과
$CH_4$ gas를 이용해 ICP-assisted reactive magnetron sputtering 장비를 활용하여 450 nm 두께의 Au-C, Ag-C 박막을 제작하였다. 이후 연속적으로 RF 250 W를 ICP antenna 에 인가하여$O_2$ plasma dealloying 공정을 통해 탄소(Carbon) 만을 선택적으로 제거함으로써, 건식 공정만으로 Si wafer ($10{\times}10mm^2$ ) 기판 위에 250 ~ 300 nm 두께의 다공성 Au, Ag 박막을 제작하였다. SEM (Scanning Electron Microscopy)를 활용하여 표면, 단면 형상을 관찰해 다공성 구조를 확인하였으며, AES (Auger Electron Spectroscopy)를 통해 plasma dealloying 전 후 박막의 조성변화를 관찰하였다. 따라서 plasma dealloying 공정으로 제작된 다공성 Au, Ag 박막은 기존의 습식 공정 대비 청결하고 신속한 공정이 가능하며 높은 재현성을 통해 위의 적용분야에 보다 쉽게 사용될 수 있을 것으로 기대된다. -
Park, Dae Keun;Kim, Soohyun;Yun, Kum-Hee;Pyo, Hanna;Kang, Aeyeon;Kim, Daehee;Lee, Cho Yeon;Yun, Wan Soo 353.2
We studied electrochemical detection of Botulinum neurotoxin, Vaccinia virus, and Streptococcus Pneumoniae based on nanogap device. Target bio substances were employed as representative targets of protein, virus, and bacteria, respectively. Redox current generated by ferri/ferrocyanide as an electroactive probe was enhanced according to gap distance which was controlled by surface-catalyzed chemical deposition. We found that enhanced electrochemical signal leads more sensitive signal changes according to selective interaction of target and its complementary elements on the electrode or gap area. In case of Botulinum neurotoxin, the redox signal showed a time-dependent increase due to cleavage of the immobilized peptide which blocked redox cycling. Redox cycling was also hindered by Vaccinia virus and Streptococcus Pneumoniae which were selectively immobilized in the gap area. -
Nanoporous gold (NPG) is a very promising material in various fields such as sensor, actuator, and catalysis because of its high surface to volume ratio and conducting nature. In this study, we fabricated a NPG based amperometric sensor on a glass substrate by means of co-sputtering of Au and Si. During the sputtering process, we found the optimum conditions for heat treatment to reduce the residual stress and to improve adhesion between NPG films and the glass substrate. Subsequently, Si was selectively etched from Au-Si alloy by KOH solution, which forms nanoporous structures. Scanning electron microscopy (SEM) and auger electron spectroscopy (AES) were used to estimate the structure of NPG films and their composition. By employing appropriate heat treatments, we could make very stable NPG films. We tested the performance of NPG sensor with aniline molecules, which shows high sensitivity for sensing low concentration of aniline.
-
Jin, Yinhua;Kulkarni, Atul;Qin, Hongyi;Kim, Dae-hwan;Yu, Yeong Wook;Lee, Joon Chul;Kim, Taesung;Moh, Sang Hun 354.2
Mycosporine-like amino acids (MAAs) are small secondary metabolites produced by organisms that live in environments with high volumes of sunlight, is an important group of novel bioactive compounds having immense biotechnological poten-tials due to their UV screening properties and Polypyrrole (PPy) is a type of organic polymer formed by polymerization of pyrrole. A novel composite nanofilm (~60 nm) of mycosporine-like amino acid (MAA) and polypyrrole is synthesized by interfacial polymerization technique. This composite nanofilm is conductive and has strong photoresponse. A photoelectric UV sensor is fabricated by depositing the composite film onto a silicon chip. This UV sensor shows good sensitivity, selectivity and stability for UV detection. -
The electromagnetic (EM) properties of media, such as propagation, focusing and scattering, strongly rely on the electric permittivity and the magnetic permeability of media. Recently, artificially-created metamaterials (MMs) composed of periodically-arranged unit cells with tailored electric permittivity and magnetic permeability have drawn wide interest due to their capability of adjusting the EM response. MM absorbers using the conventional sandwich structures usually have very high absorption at a certain frequency, and the absorption properties of MMs can be adjusted simply by changing the geometrical parameters of unit cell. In this work, we suggested an incident-angle-independent broadband perfect absorber based on resistive layers. We analyze the absorption mechanism based on the impedance matching with the free space and the distribution of surface currents at specific frequencies. From the simulation, the absorption was expected to be higher than 96% in 1.4-6.0 GHz. The corresponding experimental absorption was found to be higher than 96% in 1.4-4.0 GHz, and the absorption turned out to be slightly lower than 96% in 4.0-6.0 GHz owing to the irregularity in the thickness of resistive layers.
-
붕소의 높은 융점과 비점으로 인하여 일반적인 합성법으로는 제조가 어려운 붕화금속 나노물질을 효과적으로 합성하기 위하여 열플라즈마의 특성을 전산해석 하였다. RF (Ratio Frequency, 고주파) 열플라즈마 발생기는 일반적인 직류 열플라즈마 발생기와 비교해 볼 때, 전극 침식에 의한 수명 문제나 불순물의 오염 없이 고온의 열플라즈마를 안정적으로 발생시킬 수 있기 때문에 고순도의 나노입자 합성공정에 좋은 조건을 가지고 있다. 그러나 열플라즈마의 고온 부분은 10,000 K 이상의 높은 온도를 가지고 있기 때문에 직접적인 측정으로는 나노입자 합성에 최적의 조건을 찾기가 어렵고, 전산해석을 통하여 여러 변수들에 대한 열플라즈마의 특성을 분석하여야 한다. 해석조건으로 RF 플라즈마의 입력전력은 25 kW로 고정하고 발생기 직경 20~35 mm, 유도코일 감은 수 4~6 회, 첫 번째 코일으로 부터 분말 주입구까지의 길이 10~30 mm, 방전 기체 유량 30~70 L/min에 대한 변수들에 대하여 붕화금속 나노입자 합성에 최적의 조건을 가진 RF 플라즈마의 온도 및 속도분포를 파악하였다. 전산모사 결과 RF 열플라즈마 발생기의 직경 25 mm, 분말주입구 까지의 길이 10 mm, 유도코일 감은 수 6 회, 방전 기체 유량 50 L/min 일 때, 고온영역이 중심부에 넓게 분포하여 붕화금속 나노입자를 합성하는데 최적의 조건이라 파악되었다. 방전 기체 유량 증가에 따라 고온영역의 중심부 분포를 넓게 할 수 있었으나 유량이 증가할수록 플라즈마 속도가 증가하여 붕소를 기화시키기 위한 가열시간이 짧아지므로 방전기체 유량을 조절하여 적절한 속도를 가진 플라즈마를 발생시켜야 한다. 그리고 코일의 감은 수가 증가할수록 10,000 K 이상 고온영역이 출구 쪽으로 확장되어 붕화금속 나노입자를 합성하는데 좋은 조건이 형성되었다. 본 전산해석 결과를 바탕으로 붕화금속 나노입자를 합성하는 RF 플라즈마 발생장치의 설계 및 운전조건을 적용하여 실험과의 비교연구를 통해 붕화금속 나노입자의 합성공정을 최적화 시킬 수 있다.
-
Hydroxyapatite (Ca10(PO4)6(OH)2, HAP) particles have attracted a great deal of attention in biomedical fields due to their good biocompatibility, bioactivity and fairly broad applications as drug delivery, dental implant, bone cement, and etc. Thus, many researchers have made an effort to add new functionalities such as luminescence, drug delivery, and bone regeneration properties up to HAP powders by controlling their nanostructure as well as composition. In this research, the mesoporous strontium substituted HAP (Sr-HAP) microspheres were synthesized using a hydrothermal method. In this synthesis, aspartic acid monomers were utilized to form microsphere by controlling surface energy of HAP particles and Sr ions were substituted into Ca ion sites, which induced luminescence property in HAP powders. Moreover, the change in the amount of Sr substitution was found to influence the particle size, morphology, and concurrently surface area, which led to changing drug loading as well as drug release property. The amount of Sr influences the morphology, luminescent properties, particle size, surface area cell viability and drug loading property, which are investigated by SEM, TEM, XRD, FTIR, BET, XPS and in vitro test such as MTT assay and drug release test. In particular, the multifunctional Sr-HAP with molar ratios of 0.25 (Sr/(Ca+Sr)) possessed the strongest luminescent property as well as the superior drug loading and sustained release properties that were correspondent with large surface area and pore size. Our study indicates that the fabricated multifunctional Sr-HAP microspheres are quite useful for bone regeneration and drug delivery.
-
CuSn thin films were fabricated by rf magnetron co-sputtering method on the Si(100) substrate for evaluation of the antibacterial effect. The co-sputtering process was performed with different rf powers and sputtering times to regulate the thickness of the films and relative atomic ratio of Cu to Sn. The physicochemical properties of the CuSn thin films were characterized by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), X-ray induced Auger electron spectroscopy (XAES), Optical microscope (OM), 4-point probe, and antibacterial test. An antibacterial test was conducted with Escherichia coli (E. coli) and Staphylococcus aureus (S. aureus) as changing contact times between CuSn fillms and bacteria suspension. We compared to the crystalline structures of films before sterilization and after sterilization by XRD measurement. The changes of oxidation states of Cu and Sn and the chemical environment of films before and after antibacterial test were investigated with high resolution XPS spectra in the regions of Cu 2p, Cu LMM, and Sn 3d. After antibacterial test, the morphology of the films was checked with an OM images. The electrical properties of the CuSn films such as surface resistance and conductivity were measured by using 4-point probe.
-
Shin, Dong Hoon;Kim, Hakseong;McAllister, Kirstie;Lee, Sangik;Kang, Il-Suk;Park, Bae Ho;Campbell, Eleanor E.B.;Lee, Sang Wook 361.1
Due to their high sensitivity, fast response, small energy consumption and ease of integration, nanoelectromechanical systems (NEMS) have attracted much interest in various applications such as high speed memory devices, energy harvesting devices, frequency tunable RF receivers, and ultra sensitive mass sensors. Since the device performance of NEMS is closely related with the mechanical and flexural properties of the material in NEMS, analysis of the mechanical and flexural properties such as intrinsic tensile stress and Young's modulus is a crucial factor for designing the NEMS structures. In the present work, the intrinsic mechanical properties of highly stressed silicon nitride (SiN) beams are investigated as a function of the beam length using two different techniques: (i) dynamic flexural measurement using optical interferometry and (ii) quasi-static flexural measurement using atomic force microscopy. The reliability of the results is analysed by comparing the results from the two different measurement techniques. In addition, the mass density, Young's modulus and internal stress of the SiN beams are estimated by combining the techniques, and the prospect of SiN based NEMS for application in high sensitive mass sensors is discussed. -
The manufacture of organic electronic circuits requires effective heterogeneous integration of different nanoscale organic materials with uniform morphology and crystallinity in a desired arrangement on a substrate. Herein, we present a new direct printing method, which enables monolithic integration of crystalline nanowire arrays with a diverse range of organic materials. In this method, we use a nanoscale patterned soft mold, which contains an assembly of simple nanoline patterns but, in combination with droplet of various organic inks, can produce a large-scale integration of various nanopatterns with multiple kinds of organic materials. The morphology of organic nanowires can controlled by nanoconfinement in nanoline of mold. And mutual alignment of nanopatterns can be controlled by adjusting the ink droplet size, number of droplets, ink deposition locations.
-
InAs nanowires were synthesized by a vapor-liquid-solid method with InAs powder. The composition and crystalline structure of nanowires were confirmed by energy-dispersive spectroscopy (EDS) and high resolution transmission electron microscopy (HRTEM), respectively. The thermal conduction of nanowires was investigated by the optical method using Raman spectroscopy: i.e., the local temperature on nanowire was determined by laser heating. As temperature increased, the Raman peaks are shifted to low frequency and broadened. The temperature dependent Raman scattering experiments was realized on InAs nanowires with different percentages of zinc-blende and wurtzite structure. The temperature dependence on the nanowire structure has been successfully obtained: the phonon scattering was more increased in InAs heretostructure nanowires, compared to the InAs nanowires with homostructure. The result strongly suggests that the thermal conduction can be effectively controlled by ordered interface without any decrease in electrical conduction.
-
본 실험은 CW (Continuous wave) 주파수를 가진 교류 자기장을 발생시켜 자성나노입자를 가열시키는 것이 목적이다. 이를 위해 CW 주파수 및 SMPS (Switching Mode Power Supply)를 이용해 코일에서 교류 자기장을 발생시키는 평판형 자기장 발생 장치를 자체적으로 개발하였다. 이를 이용하여 인가전압을 변화시키면서 자기장 세기의 변화를 주었다. 평판형 코일 위에는 유리 등의 원형 평판 절연체를 덮고 그 절연체 표면에 웰(Well plate)를 위치시켰고 그 안에 자성나노입자가 포함된 수용액을 넣어 교류 자기장에 노출시켰다. 자기장 측정센서(Magnetic pick up coil, Gauss Meter)를 이용하여 자기장의 세기를 측정하였고, 자성나노입자의 농도, 크기 및 자기장 세기에 따른 자성나노입자의 온도상승효과를 접촉식 온도계를 이용하여 정량적으로 측정하였다.
-
Transparent and stretchable conductors are expected to be an essential component in future stretchable optoelectronic devices. Until now, two main methods have been commonly employed to fabricate transparent and stretchable conductors by using metal nanomaterials: creating buckling configurations and creating network configurations. In this report, a novel strategy for obtaining transparent and stretchable conductors is presented, one that employs these two main approaches simultaneously. To the best of our knowledge, this proposed configuration of a buckled long nanofiber network in this study has not yet been reported. In order to provide the transparent conductors with dual mode stretchability originating from simultaneous buckled and network configurations, a buckled Au@polyvinylpyrrolidone (PVP) nanofiber network (hereafter referred to BANN for convenience) was fabricated by transferring Au-metallized electrospun PVP nanofibers onto a prestrained polydimethylsiloxane (PDMS) substrate. Our BANN shows considerably lower strain sensitivity of resistance than that of straight Au@PVP nanofiber network. Durability tests conducted by performing cyclic tensile strain reveal that the relative change in resistance of BANN (prestrain = 20%) is quite small after 1000 cycles. We also demonstrate that this BANN exhibits superior performance over widely used indium tin oxide conductors with regard to high optical transmittance and low sheet resistance.
-
Stretchable strain sensors are becoming essential in diverse future applications, such as human motion detection, soft robotics, and various biomedical devices. One of the well-known approaches for fabricating stretchable strain sensors is to embed conductive nanomaterials such as metal nanowires/nanoparticles, graphene, conducting polymer and carbon nanotubes (CNTs) within an elastomeric substrate. Among various conducting nanomaterials, CNTs have been considered as important and promising candidate materials for stretchable strain sensors owing to their high electrical conductivity and excellent mechanical properties. In the past decades, CNT-based strain sensors with high stretchability or sensitivity have been developed. However, CNT-based strain sensors which show both high stretchability and sensitivity have not been reported. Herein, highly stretchable and sensitive strain sensors were fabricated by integrating single-walled carbon nanotubes (SWNTs) and nylon textiles via vacuum-assisted spray-layer-by-layer process. Our strain sensors had high sensitivity with 100 % tensile strain (gauge factor ~ 100). Cyclic tests confirmed that our strain sensors showed very robust and reliable characteristic. Moreover, our SWNTs-based strain sensors were easily and successfully integrated on human finger and knee to detect bending and walking motion. Our approach presented here might be route to preparing highly stretchable and sensitive strain sensors with providing new opportunity to realize practical wearable devices.
-
We report the characteristics of individual ultra-long SnO2 nanowires(NWs) grown on sapphire(0001) substrates by vapor transport method. NWs, with typical lengths of >
$400{\mu}m$ , grew in the form of NW bundles under a hydrogen reducing atmosphere, without metal catalysts. The individual NWs were examined using high-resolution X-ray diffraction, transmission electron microscopy, and micro-Raman spectroscopy. The results revealed that the SnO2 NWs grew as high-quality, tetragonal-rutile-phase single crystals with mosaic distributions of$0.02^{\circ}$ and$0.026^{\circ}$ in the (101) and (110) planes, respectively. -
본 연구에서는 3차원 갭 전극을 제작하고 이에 유체채널을 도입하여 전기화학적 분석을 수행하였다. 제작된 3차원 갭 전극은 전극의 배치가 상/하로 이루어져 있으며 전극 사이에는 포토레지스트가 간격을 유지하기 위해 코팅되었다. 상하층 전극사이로 분석물질인 ferricynide가 이동 할 수 있도록 유체채널을 도입하였고, 상하층 전극에 각각 산화, 환원 전위를 인가하면 ferricyanide/ferrocyanide에 의한 redox cycling이 일어나는 것을 확인 하였다. 이 때, 발생한 redox 신호는 2차원 갭에서의 redox 신호와 비교했을 때 월등히 큰 것을 확인하였으며, 증폭된 redox 신호를 기반으로 백시니아 바이러스의 검출 연구에 활용하였다.
-
투명 전극은 유기 발광소자, 태양전지, 센서와 같은 다양한 분야에 응용되고 있으며, indium-tin-oxide(ITO)는 현재 다양한 소자의 투명 전극으로 가장 많이 사용하고 있다. 그러나 높은 가격과 유연성이 좋지 않은 ITO 소재를 대체하는 기술로 현재 금속 나노와이어를 사용하려는 시도가 진행되고 있다. 금속 나노 와이어 투명전극은 높은 전도성, 높은 광학적 투과율, 간단한 공정, 우수한 유연성 및 열 안정성의 장점을 가지고 있어 플렉서블 소자에 응용 가능성을 보여주고 있다. 본 연구에서는 금속 나노와이어 투명전극 기판 제작 방법과 이를 이용한 유기 쌍안정 메모리 소자의 전기적 특성을 관찰하였다. 세척한 PET 기판 위에 금속 나노와이어를 스핀코팅 방법으로 분산하고, 그 위에 금속 나노와이어의 표면 거칠기와 전도성을 증진하기 위해 PEDOT:PSS 층을 스핀코팅하여 플렉서블 투명전극을 제작하였다. 플렉서블 금속 나노와이어 투명전극 기판을 하부 전극으로 사용하고, 그 위에 금 나노입자가 포함된 유기물 층을 다시 한번 스핀코팅 방식으로 적층하였다. 마지막으로 알루미늄 상부 전극을 열 증착하여 비휘발성 메모리 소자를 제작하였다. 이렇게 제작된 소자의 전류-전압 측정 결과는 높은 전도도와 낮은 전도도의 차이를 갖는 전기적 특성을 확인할 수 있다.
-
그 동안 열화학 기상 증착법으로 고결정의 그래핀을 합성하는 연구가 많이 진행되었다. 더불어 그래핀을 소자로 이용하기 위해서는 합성하는 과정에서 그래핀의 모양 및 형태를 제어하는 방법이 필요하기 때문에 이와 관련된 연구들 또한 진행되었다. 일반적으로 그래핀의 모양은 촉매의 모양에 의존하기 때문에 촉매 금속의 패터닝에 관심이 집중되었고, 보다 작은 크기의 구조를 완성하기 위해 포토리소그래피(photolithography)법을 이용하는 것이 보편화 되었다. 본 연구에서는 촉매 금속을 이용하여 그래핀을 합성시, 촉매 표면에 잔여하는 유기물(포토리소공정으로 인해 발생하는 잔여물)이 열화학 기상 증착법으로 그래핀을 합성하는 방법에 문제를 야기한다는 것을 확인하였다. 이를 해결하기 위해 플라즈마를 이용하여 잔여 유기물을 제거하였고, 그에 따라 합성된 그래핀의 결정성이 향상되는 것을 확인하였다.
-
Volatile organic compounds (VOCs) are considered hazardous air pollutants and these are emitted from building materials and household products. VOCs can cause global warming as well as human sickness, and even cancer. Photocatalysis provides a way of converting VOCs into harmless materials. Various researches have shown that
$TiO_2$ is the most efficient photocatalysts due to its excellent activity. In this study, metal/non-metal doped$TiO_2$ particles are synthesized for the enhancement of the photocatalytic properties of pure$TiO_2$ . By metal/non-metal doping, band gap energies of prepared samples were analyzed by UV/Visible spectrophotometer. The physical and chemical properties of synthesized powder were characterized by field emission scanning electron microscope, by BET for measuring their specific surface area, and by XRD for phase identification and particle size determination. Degradation ability for p-xylene was evaluated through monitoring the concentration in a closed chamber. Relation between their properties and decomposition abilities for VOC were evaluated based on the experimental results. -
투명 박막 태양전지는 건물과 일체화 된 building-integrated photovoltaics (BIPV) 시스템에 적용되어 건물의 외장재로서 외관의 심미적 가치를 높일 수 있다. 현재 BIPV 모듈은 glass 타입의 형태가 시장을 주도할 것으로 예상되며[1], 건물의 외부 미관을 고려하여 투명 박막 태양전지에 색을 구현하는 연구가 진행되고 있다. 투명 박막 태양전지에 적용하기 위한 목적으로 본 연구에서는 스핀코팅을 이용하여 표면 플라즈몬 효과가 큰 Cu 나노입자를 Si 웨이퍼와 유리기판 위에 각각 분포 시킨 후, 광학적 특성을 분석하였다. 스핀코팅의 속도(rpm)를 다양하게 변화 시킨 후 나노 입자의 분포를 관찰하였으며, 속도가 증가할수록 나노입자의 수는 감소함을 확인하였다. 또한 속도가 증가할수록 입자 사이의 거리는 멀어졌으며, 최저 속도 100 rpm에 비해 4000 rpm에서 스핀코팅 한 입자들은 응집이 상대적으로 되지 않았음을 관찰하였다. 속도가 증가할수록 입자가 기판 위에 적게 잔재하기 때문에 반사율과 투과율이 가시광선 영역에서 증가하였으며, 유리 기판에 구현한 색깔이 점차 옅어짐을 관찰하였다. 이러한 결과로부터 스핀코팅의 속도를 변화시킴으로써 나노입자의 분포 양상과 이에 따른 색상의 변화를 유발 할 수 있다는 사실을 확인하였다.
-
Growth and characterization of Zn layered-double hydroxide (LDH) based two-dimensional nanostructure다양한 물질계의 2차원 나노구조는 그래핀과 함께 그 고유특성으로 최근 광전소자, 전자소자, 센서, 에너지 생성 및 저장과 수소에너지 생성 등의 응용으로 매우 많은 관심을 받고 있다. 특히 층상이중수산화물 (layered-double hydroxide; LDH) 2차원 나노구조는 생성의 용이성과 층상 내 금속 이온의 교환을 통한 특성의 자유로운 제어가 가능하므로 많은 관심을 받고 있다. 층상이중수산화물 화합물은 [Zn(1-x) MIII(x)(OH)2][
$An-x/n{\cdot}mH2O$ ] (MIII = Al, Cr, Ga; An- = CO32-, Cl-, NO3-, CH3COO-) 구조로써, Brucite-type 구조 내에서 3가 양이온의 상태에 따라서 다양한 특성을 제어할 수 있는 장점이 있다. 이러한 장점으로 인해 층상이중수산화물 화합물은 촉매나, 에너지 저장, 음이온 교환 및 흡착, 화학적 촉매, 바이오 소자 등에 응용이 연구되고 있으며, 다양한 금속 산화물을 제조하기 위한 중간자 precursor로써도 연구되고 있다. 하지만, 이러한 대부분의 연구들을 통한 결과물들이 분말 및 수용액 상태로 남게 되며, 이러한 화합물의 특성을 제어하기 어려운 문제점이 있다. 더욱이 이러한 나노구조물들을 다양한 소자로 응용하기 위해서는 상용의 실리콘이나 glass 등의 기판형태의 물질상에 성장시킬 수 있어야 하며, 그러한 기판 위에서의 형상 및 특성 제어가 용이해야 한다. 따라서 본 연구에서는 실리콘 기판을 적용한 Zn기반의 층상이중 수산화물 화합물을 성장하고, 하부물질의 조성제어를 통한 층상이중수산화물 화합물의 형상제어가 가능한 기술에 관한 연구를 보고하고자 한다. 이를 위한 하부물질의 조성은 Zn와 Al을 통해 이루어지며, 기형성된 Al2O3박막을 핵형성층으로 활용한다. 이러한 방법으로 형성된 층상이중수산화물 화합물에 대해 이차전자주사현미경, 투과전자현미경 및 X-ray회절기법을 통해 구조분석을 하고, Raman 및 광발광스펙트럼 분석을 통해 광학적 분석을 시행함으로써, 층상이중수산화물이 기판상에서 형성되는 메커니즘에 관한 규명을 시행하였다. 이러한 분석연구를 통해 핵형성층의 에칭 따라 실리콘 기판상에서 성장하는 층상이 중수산화물 화합물의 형상 및 조성이 제어되는 메커니즘을 구명하였다. -
Graphene electronics is one of the promising technologies for the next generation electronic devices due to the outstanding properties such as conductivity, high carrier mobility, mechanical, and optical properties along with extended applications using 2 dimensional heterostructures. However, large contact resistance between metal and graphene is one of the major obstacles for commercial application of graphene electronics. In order to achieve low contact resistance, numerous researches have been conducted such as gentle plasma treatment, ultraviolet ozone (UVO) treatment, annealing treatment, and one-dimensional graphene edge contact. In this report, we suggest a fabrication method of one-dimensional graphene metal edge contact without using graphene exfoliation. Graphene is grown on Cu foil by low pressure chemical vapor deposition. Then, the graphene is transferred on
$SiO_2/Si$ wafer. The patterning of graphene channel and metal electrode is done by photolithography.$O_2$ plasma is applied to etch out the exposed graphene and then Ti/Au is deposited. As a result, the one-dimensional edge contact geometry is built between metal and graphene. The contact resistance of the fabricated one-dimensional metal-graphene edge contact is compared with the contact resistance of vertically stacked conventional metal-graphene contact. -
Recently, there are a lot of diseases all around the world. Out of them, Atherosclerosis (AS) is the most common cause of stroke, cardiovascular mortality, and myocardial infarction. The macrophage-derived foam cell, which is formed by oxidized low-density lipoprotein (oxLDL), is the crucial marker for AS. In this study, we report a label-free capacitance imaging technique with multi-electrode array (MEA). The lipid-rich aorta arch lesions, which are derived from an apolipoprotein-E receptor-deficient (apoE-/-) mouse, exhibit higher capacitance than the lipid-free aorta arch, allowing the capacitance imaging of lipid region in atherosclerosis. To improve the contacts between MEA and tissue, polypyrrole(PPy)-coated multi walled carbon nanotubes (MWNTs) multi electrode array (PPy-MWNTs-MEA) was fabricated. Compared to TiN-MEA, PPy-MWNTs-MEA yielded lower contact impedance and better capacitance images. In addition, we have also developed a flexible MEA using single walled carbon nanotubes on a PET substrate. The lipid region could be discriminated in the capacitance images of the lipid-rich aorta arch lesions measured using flexible MEA, demonstrating a feasibility of in vivo applications.
-
Various nanobiosensors have been developed and extensively investigated. For their practical applications, however, the reproducibility and uniformity should be good enough and the mass-production should be possible. To fabricate anodized aluminium oxide (AAO)-based nanobiosesnor on wafer scale, we have designed and constructed a wafer-scale anodizing system.
$1{\mu}m$ -thick-aluminum is deposited on 4 inch SiO2/Si substrate and then anodized, resulting in uniform nanopores with an average pore diameter of about 65 nm. Furthermore, most AAO sensors constructed on this wafer provide capacitance values of 30 nF ~ 60 nF in PBS, demonstrating their uniformity. -
Copper and zinc are well known elements with antibacterial effect. So in this research, Cu and Zn (CZ) nanofibers (NFs) were fabricated by electrospinning method using polyvinylpyrrolidone (PVP) for adjusting viscosity. The CZ/PVP precursor solutions were prepared with copper sulfate pentahydrate, and zinc acetate dihydrate. Distilled water was used for solvent and PVP was used to regulate the viscosity of precursor solution. The CZ/PVP NF composites were obtained by electrospinning method using the precursor solution. The average diameter of obtained CZ/PVP NFs was determined by optical microscopy using Motic image plus 2.0 program and was found to be 490 nm. The chemical environment of the obtained CZ/PVP NF composites was investigated with X-ray photoelectron spectroscopy (XPS). After heating the obtained CZ/PVP NF composites at 353 K, the solvent was removed. The characteristic C 1s, Cu 2p, and Zn 2p core level XPS peaks were observed. After calcination the CZ/PVP NF composites at 873 K in Ar environment for 5 hrs, PVP was decomposed at this temperature and CZ NF was obtained. This was confirmed by decreasing the intensity of C 1s.
-
Volatile organic compounds (VOCs) in the atmosphere are harmful materials which influence indoor air environment and human health. Titanium dioxide (
$TiO_2$ ) is photocatalyst extensively used in degradation of organic compound. To improve the photocatalytic activity in the visible light region, doping with non-metals element or loading noble metals on the surface of$TiO_2$ is generally proposed. In this study, N- doped$TiO_2$ having photocatalytic activity in visible light region was attached noble metal such as Pt, Ag, Pd, Au by coupling method. Catalytic activities of Noble metal coupled$N-TiO_2$ powders were evaluated by the improvement of their photocatalytic activities and the degradation of VOC gas. A UV-Vis spectrophotometer was used to measure the diffuse reflectance spectra of coupled$N-TiO_2$ sample. The photocatlytic activities of as prepared samples were characterized by the decoloration of aqueous MB solution under Xenon light source (UV and visible light). To measure of decomposition VOCs, ethylbenzene was selected for target VOC material and the concentration was monitored under UVLED irradiation in a closed chamber system. Adjusting the initial concentration of 10~12 ppm, to evaluate the removal characteristics by using the coupled$N-TiO_2$ . -
Polymerase chain reaction (PCR) has revolutionized genetics and become one of the most popular techniques in modern biological and medical sciences. It can be used not only as an in vitro DNA amplification method but also used in many bioassay applications. The PCR can be used to exponentially produce a large number of DNA copies from a small quantity of DNA molecules in a few hours. However, as unwanted DNA fragments are also often manufactured, the amplification efficiency of PCR is decreased. To overcome this limitation, several nanomaterials have been employed to increase the specificity of the PCR reaction. Recently, graphene has attracted a great interest for its excellent electron transfer, thermal and biocompatibility. Especially, gold nanoparticle-coated graphene oxide (GO/AuNPs) led to enhance electron and thermal transfer rate and low-charge transfer resistance. Therefore, we report the development of a demonstration for the PCR efficiency using a large-scale production of the GO and combination of gold nanoparticles. Because a thermal conductivity is an important factor for improving the PCR efficiency in different DNA polymerases and different size samples. When PCR use GO/AuNPs, the result of transmission electron microscopy and real-time quantitative PCR (qPCR) showed an enhanced PCR efficiency. We have demonstrated that GO/AuNPs would be simply outperformed for enhancing the specificity and efficiency of DNA amplification procedure.
-
그래핀(Graphene)은 원자 한 층 두께의 얇은 특성에 기인하여 우수한 투과도(~97.3%)를 나타내며, 높은 전자 이동도(
$200,000cm^2V^{-1}s^{-1}$ )로 인하여 전기 전도도가 우수한 2차원 전자소재이다. 또한 유연하고 우수한 기계적 물성을 가지고 있어 실제로 다양한 소자에서 활용되고 있다. 그래핀을 이용하여 다양한 소자로 응용하기 위한 과정 중 하나인 포토리소그래피 공정(Photolithography process)은 원하는 패턴을 만들기 위해 제작하고자 하는 기판 위에 포토레지스트(Photoresist)를 코팅하는 과정을 거치게 된다. 하지만 이러한 과정은 소자 제작에 있어서 포토레지스트 잔여물을 남기게 된다. 그래핀 위에 남은 포토레지스트 잔여물은 그래핀의 우수한 전기적 특성을 저하시켜 소자특성에 불이익을 주게 된다. 본 연구에서는 수소 플라즈마를 이용하여 그래핀 위에 남은 중합체(Polymer) 잔여물을 제거한다. 사용한 그래핀은 화학 기상 증착법(Chemical vapor deposition)을 이용하여 성장시켰으며, PMMA(Poly(methyl methacrylate))를 이용하여 이산화규소(silicon dioxide) 기판에 전사하였다. 그래핀의 손상 없이 중합체 잔여물을 제거하기 위해 플라즈마 처리시간을 15초부터 1분까지 늘려가며 연구를 진행하였으며, 플라즈마 처리 시간에 따른 중합체 잔여물의 제거 정도와 그래핀의 보존 여부를 확인하기 위해 라만 분광법(Raman spectroscopy)과 원자간력현미경(Atomic force microscopy)을 사용하였다. 본 연구 결과를 통해 간단한 플라즈마 처리로 보다 나은 특성의 그래핀 소자를 얻게 됨으로써, 향상된 특성을 가진 그래핀 소자로 산업적 응용 가능성을 높일 수 있을 것이라 생각된다. -
나노바이오연구분야에서 ToF-SIMS를 이용하여 lipid와 metabolite같은 저 분자의 생체물질을 측정하는데 널리 이용되어 왔다. 최근에는 고 분자량의 생체물질을 측정하기 위해서 C60, water cluster, argon cluster등의 다양한 종류의 클러스터 이온빔들이 개발되어 왔다. [1,2] 하지만 tissue샘플을 클러스터 이온빔을 이용하여 분석한 결과에서도 m/z 1500이상의 고분자를 측정한 결과는 거의 없다. 바이오샘플의 charging을 상쇄하기위해 low energy electron beam (~20 eV)을 사용하는데, low energy electron beam이 샘플에 damage를 주기 때문이다. [3] 본 연구에서는 electron fluence (electrons/cm2)가 증가함에 따라 PC(16:0/18:1(9Z)와 Ganglioside GM1의 intensity가 감소함을 알았고, low energy electron beam에 의해 생체 물질이 damage를 받을 수 있음을 확인하였다. 따라서 tissue 샘플을 SUS기판에 샘플링하고 Ar-GCIB를 이용하면 charging없이 tissue imaging을 성공적으로 수행할 수 있고, m/z 2000이상의 고 분자량의 생체물질을 측정할 수 있음을 확인하였다.
-
Amine functionalized plasma polymerized PEG film: Elimination of non-specific binding for biosensingBiosensors currently suffer from severe non-specific adsorption of proteins, which causes false positive errors in detection through overestimation of the affinity value. Overcoming this technical issue motivates our research. Polyethylene glycol (PEG) is well known for its ability to reduce the adsorption of biomolecules; hence, it is widely used in various areas of medicine and other biological fields. Likewise, amine functionalized surfaces are widely used for biochemical analysis, drug delivery, medical diagnostics and high throughput screening such as biochips. As a result, many coating techniques have been introduced, one of which is plasma polymerization - a powerful coating method due to its uniformity, homogeneity, mechanical and chemical stability, and excellent adhesion to any substrate. In our previous works, we successfully fabricated plasmapolymerized PEG (PP-PEG) films [1] and amine functionalized films [2] using the plasma enhanced chemical vapor deposition (PECVD) technique. In this research, an amine functionalized PP-PEG film was fabricated by using the plasma co-polymerization technique with PEG 200 and ethylenediamine (EDA) as co-precursors. A biocompatible amine functionalized film was surface characterized by X-ray photoelectron spectroscopy (XPS) and Fourier-transform infrared spectroscopy (FT-IR). The density of the surface amine functional groups was carried out by quantitative analysis using UV-visible spectroscopy. We found through surface plasmon resonance (SPR) analysis that non-specific protein adsorption was drastically reduced on amine functionalized PP-PEG films. Our functionalized PP-PEG films show considerable potential for biotechnological applications such as biosensors.
-
Recently, new types of wearable devices such as textile electronics are considered as the next generation wearable electronics. To realize the textile electronics, conductive fibers are required to supply the power and for signal processing. Conventionally, silver nanowires (Ag NWs) have been attracted as one of the conductive additives in the fibers, however, using the Ag NWs may lead to high production cost since it is a noble metal. Many researches have been done to replace the Ag NWs into a cheaper materials such as copper nanowires (Cu NWs). Here, we synthesized ultra-long Cu NWs for a conductive filler material in conductive fibers, taking advantages of their structural features. To investigate the effect of capping agents on the aspect ratio of the synthesized Cu NWs, we used various capping agents such as hexadecylamine, butylamine, ethylenedilamine and oleylamine in the Cu NW synthesis. In this research, the effects of capping agents on the structure and the synthesis of Cu NWs are presented.
-
The Ni oxide/PVP nanofibers were synthesized by sol-gel and electrospinning technique. The obtained Ni oxide/PVP (polyvinylpyrrolidone) nanofibers were calcined to remove the PVP compound at 873 and 1173 K. The Ni oxide/PVP nanofibers were analyzed by scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS). The SEM images showed that the mat form was prepared by calcination of Ni oxide/PVP nanofibers at 873 K. And the crystal structure of Ni oxide at 1173 K was also confirmed by SEM images. XRD results shows the crystallinity of metallic Ni and NiO. TEM images also verified the crystal phase of Ni and Ni oxide. XP spectra revealed that the oxidation state of Ni to conclude the chemical composition of Cu oxide nanofibers.
-
In this study, we report a novel antioxidant ZnO nanoparticle that is newly designed and prepared by simple surface modification process. Antioxidative functionality is provided by the immobilization of antioxidant of 3,4,5-trihydroxybenzoic acid (galic acid, GA) onto the surface of ZnO nanoparticles. Microstructure and physical properties of the ZnO@GA nanoparticles were investigated by field emission scanning electron microscopy (FE-SEM), transmission electron microscopy (TEM), infrared spectroscopy (IR) and steady state spectroscopic methods. The antioxidative activity of ZnO@GA was also evaluated using ABTS (3-ethylbenzothiazoline-6-sulfonic acid) radical cation decolorization assay. Notably, ZnO@GA showed strong antioxidative activity in spite of the conjugation process of GA on the ZnO surface. These results provide that GA-coating onto ZnO nanoparticles may offer an intriguing potential for biomedical devices as well as nanomaterials.
-
The emergence of new infectious diseases, the resurgence of several infections that appeared to have been controlled and the increase in bacterial resistance have created the necessity for studies directed towards the development of new antimicrobials. In the present study, we have synthesized a novel antioxidant ZnO nanoparticle that is newly designed and prepared by simple surface modification process. Antioxidative functionality is provided by the immobilization of antioxidant 3-(3,4-dihydroxyphenyl)-2-propenoic acid (caffeic acid, CA) onto the surface of ZnO nanoparticles. Microstructure and physical properties of the ZnO@CA nanoparticles were investigated by field emission scanning electron microscopy (FE-SEM), transmission electron microscopy (TEM), infrared spectroscopy (IR) and steady state spectroscopic methods. Antimicrobial Activities of ZnO@CA nanoparticles were measured against various bacterial strains using antibacterial testing methods.
-
분석 방법의 간편함과 용이함의 장점은 물론, 시료 전처리 과정이 적어 시료물질의 임의 파괴나 훼손을 방지한다는 이유에서 최근 10년 간 많은 연구가 이루어지고 있는 대기압 질량분석 기술은 기압차이가 없는 대기압 분위기에서 질량분석이 이루어지기 때문에 시료를 질량분석기 입구 바로 앞에 스테이지를 설치하고서 시료를 이온화하는 경우가 대부분이다. 이 때문에 균질하지 않은 시료의 관심 영역을 모니터링하면서 질량분석을 하기에는 어려움이 있으며, 공간 정보를 추가한 질량분석 이미징에 한계가 있었다. 이에 본 연구팀은 질량분석기 입구에 챔버와 보조 펌프를 장착하여 강제로 기체 흐름 일으켜 시료로부터 발생한 이온을 질량분석기 입구로 유도하여, 원거리에서 시료를 이온화해도 질량분석기 입구까지 이온을 성공적으로 전달시키는 방법을 제안한다. 이를 이용하면 분석하고자 하는 시료를 현미경 스테이지 위에 위치시켜 분석하고자하는 부분을 현미경으로 확인하면서 질량분석을 할 수 있으며, 나아가 대기압 질량 분석 이미징 기술을 구현할 수 있다. 대기압 탈착/이온화원은시료에 열적 손상이 없는 조건으로 시편의 이온화 및 탈착 과정이 이루어지게 하기 위해 저온 대기압 헬륨 플라즈마 젯과 펨토초 레이저를 결합하여 대기압 이온화원을 제작하였다. 이온 전달관은 1/4" (6.35 mm) 외경의 60 cm 길이의 스테인리스 스틸관을 사용하여 질량분석기에서 약 60 cm 떨어진 현미경 위의 시료의 질량분석이 가능하게 했다. 보조 펌프의 계기압과 저온 대기압 헬륨 플라즈마 젯의 헬륨 기체의 유속을 변화시키면서 시료인 PDMS (polydimethylsiloxane) 의 질량 스펙트럼 (m/z 270.314) 세기를 관찰하여 최적의 이온 전달 조건을 찾았다. 추가로 현미경 스테이지에 정밀 2-D 자동 스캐닝 스테이지를 장착하여 질량분석 정보에 공간 정보를 더할 수 있는 질량분석 이미징 기술 방법을 개발하여 생체 시편의 질량분석 이미징을 얻었다.
-
It was known conceptually that ferrofluid or air driven flows induced by waste heat energy could generate electric power in surrounding windings by changing the magnetic flux with time through the colis. In the last decade, a ferrohydrodynamics energy harvesting system based on magnetorheology has been investigated experimentally and numerically. However, it was focused on the movement of air droplets or nanoparticles in the ferrofluid, therefore the electric power generated in the device was not enough to use practically. In this study, we developed the electrical generation concept based on magnetic particle flows for harvesting large amount of electric power and conducted measurements and computations for verifying the concept of electrical generation. In order to obtain a significant amount of electrical energy by using magnetic particle flows, it was critical to control the magnetization direction of magnetic nanoparticles in the fluid by a permanent magnet and to change the magnetic flux with time by air bubbles when the fluid flows in a millimeter-sized channel passed through surrounding windings.
-
비등 열전달 시스템은 각종 발전 시스템, 열교환기, 냉방 및 냉동 시스템과 같이 다양한 산업에서 이용되며 매우 중요시 되고 있다. 또한 비등 열전달 시스템에서의 임계 열유속은 열전달 시스템의 한계 및 안정성을 나타내는 중요한 인자이다. 따라서 비등 열전달 시스템의 성능을 높이기 위해 임계 열유속을 향상시키려는 연구 및 개발이 지속적으로 이루어지고 있다. 최근에는 작동유체를 나노유체로 사용할 경우 임계 열유속을 크게 향상 시킬 수 있다고 보고되었다. 하지만 작동유체를 나노유체로 사용할 경우 나노입자가 열전달 표면에 침착되는 현상을 유발하며 열전달 시스템의 성능을 감소시킬 수 있다. 따라서 본 연구에서는 산화 처리된 그래핀 나노유체의 파울링 현상에 따른 열적 특성을 분석해 보았다. 그 결과 산화 처리된 그래핀 나노 파울링은 유속과 파울링을 위한 코팅시간이 증가할수록 산화 처리된 그래핀 나노유체의 임계 열유속이 크게 증가하고 있음을 확인할 수 있었다. 하지만 임계 열유속은 증가하나 비등 열전달 표면의 온도가 크게 증가하고 있음을 확인하였다. 그리고 열전달 계수는 유동이 없는 순수 물 비등 열전달 계수와 비교하여 감소하는 것으로 나타났다.
-
현재 전 세계적으로 에너지 소비가 급격히 증가하고 있다. 하지만 급격한 에너지 소비에 따른 자원 및 에너지 공급의 불확실성은 점점 높아지고 있다. 특히, 우리나라는 공급 에너지의 96.4%를 해외 수입에 의존하고 있기 때문에 에너지 안보에 매우 취약한 구조를 갖고 있다. 그리고 열전달 시스템에서 임계 열유속은 열전달 시스템의 한계를 나타낸다. 따라서 임계 열유속의 향상은 열전달 시스템의 안전성의 향상을 위한 필수적인 요소이다. 이에 따라 다양한 산업에서 열전달 시스템을 통하여 막대한 양의 에너지가 소비됨에 따라 우수한 열전달 특성을 가진 나노유체를 사용하여 열전달 시스템의 효율 및 안정성을 높이고자 하는 많은 연구가 진행되고 있다. 따라서 본 연구에서는 유동 비등에서 그래핀 나노 유체 사용에 따른 열전달 특성을 분석하였다. 유동 비등에서 0.01 vol%의 산화 처리된 그래핀 나노유체를 사용하였을 경우 유속이 증가함에 따라 임계 열유속은 증가하였으며 유속이 증가함에 따라 비등 열전달 계수도 증가함을 확인하였다. 그리고 임계 열유속은 순수 물보다 최대 66.32% 증가하였으며, 비등 열전달 계수는 풀비등에서 보다 최대 28.14% 증가함을 확인하였다.
-
열전달 시스템에서 임계 열유속 발생 시 시스템의 물리적 손상을 야기하기 때문에 비등 열전달에서 임계 열유속은 열전달 시스템의 한계 또는 안전성을 나타낸다. 따라서 열전달 시스템의 안정성을 위해서는 임계 열유속 향상이 필수적이다. 최근에는 나노유체를 열전달 시스템에 적용할 경우 임계 열유속이 증가한다고 보고되었다. 하지만 나노유체는 원전 및 각종 열전달 시스템에 적용 시 나노입자가 열전달 표면에 침착되는 파울링 현상을 발생시킬 수 있으며, 이 때문에 시스템의 열효율이 크게 감소할 수 있다. 따라서 본 연구에서는 열전달 시스템에 나노유체를 적용했을 때, 나노유체의 침착현상이 시스템에 미치는 영향을 분석하였다. 그 결과 유속과 코팅시간이 증가할수록 산화처리된 다중벽 탄소나노튜브 나노유체의 임계 열유속이 크게 증가하고 있음을 확인할 수 있다. 하지만 나노입자 침착정도와 유속이 증가할수록 비등 열전달 표면과 유체의 포화온도의 차이인 과열도가 상당히 크게 증가함을 알 수 있었으며, 열전달 계수는 순수 물의 0 m/s의 비등 열전달 계수와 비교하면 감소하는 것을 확인하였다.
-
임계 열유속 현상은 열전달 시스템에서 가열조건이나 유동조건이 변함에 따라 열전달 표면 부근의 유체상태가 액체에서 기체로 바뀌면서 열전달계수가 급격히 감소하는 현상을 말한다. 임계 열유속 발생 시 핵 비등 영역에서 순간적으로 막 비등 영역으로 넘어가면서 원전 시스템의 물리적 파괴를 일으킬 수 있게 된다. 따라서 임계 열유속 현상은 시스템 설계 및 안전해석 뿐만 아니라, 열교환 및 냉각 장치 설계에서 중요하게 고려되고 있다. 특히, 비등 열전달 시스템에서 임계 열유속 발생 시 시스템의 물리적 손상을 야기하게 된다. 따라서 원전 시스템을 보호하면서 성능을 극대화시키기 위해서는 임계 열유속 향상이 필수적이며, 임계 열유속 향상을 위한 대안 중 하나로서 열적 특성이 우수한 나노유체를 열전달 시스템에 적용하여 임계 열유속 향상을 위한 연구가 지속되고 있다. 따라서 본 연구에서는 산화 처리된 다중벽 탄소나노튜브 나노유체를 사용하여 각각 0.5 m/s, 1.0 m/s, 1.5 m/s의 유속에서 임계 열유속과 열전달 계수를 측정하였다. 그 결과 산화 처리된 다중벽 탄소나노튜브 나노유체의 유속이 증가 할수록 임계 열유속이 증가하는 것을 확인 하였으며, 순수물과 비교하여 최대 62.64% 증가함을 확인하였다. 그리고 산화 처리된 다중벽 탄소나노튜브 나노유체의 비등 열전달 계수 또한 유속이 증가 할수록 비등 열전달 계수가 증가하는 것을 확인하였며 최대 24.29% 증가함을 확인하였다.
-
We reported that amorphous silicon (a-Si) thin film provide sample plate exhibiting a multimodality to measure biomolecules by secondary ion mass spectrometry (SIMS) and laser desorption/ionization mass spectrometry (LDI-MS). Kim et al.1 reported that a-Si thin film were suitable to detect small molecules such as drugs and peptides by SIMS and LDI-MS. Recently, bacterial identification has been required in many fields such as food analysis, veterinary science, ecology, agriculture, and so on.2 Mass spectrometry is emerging for identifying and profiling microbiology samples from its advantageous characters of label-free and shot-time analysis. Five species of bacteria - S. aureus, G. glutamicum, B. kurstaki, B. sphaericus, and B. licheniformis - were sampled for MS analysis without lipid extraction in sample preparation steps. The samples were loaded onto the a-Si thin film with a thickness of 100 nm which did not only considered laser-beam penetration but also surface homogeneity. Mass spectra were recorded in both positive and negative ionization modes for more analytical information. High reproducibility and sensitivity of mass spectra were demonstrated in a mass range up to mass-to-charge ratio(m/z) 1200 by applying the a-Si thin film in mentioned above MS. Principle component analysis (PCA) - a popular statistical analysis widely used in data processing was employed to differentiate between five bacterial species. The PCA results verified that each bacterial species were readily distinguished and differentiated effectively from our MS approach. It shows a new opportunity to rapid bacterial profiling and identification in clinical microbiology. More details will be discussed in the presentation.
-
Recent publications reported the self-propelled jumping of coalescing dew droplets on superhydrophobic surfaces [1-2]. We further investigated the initial growth, coalescence, and removal by self-propelled ejection of nano and microscopic water droplets on the superhydrophobic surface of lotus leaves under condensing conditions. By using a high-speed digital camera mounted on an optical microscope, we have found: (1) sub-micrometer droplets form and grow on nanoscale waxy hairs; (2) growing droplets coalesce rapidly upon contact, but never jump off the surface unless the diameter of merged droplets exceeds
${\sim}15{\mu}m$ ; (3) the diameter and direction of jumping droplets are very narrowly distributed, centered at$20-30{\mu}m$ and${\sim}20$ degrees from the surface normal, respectively. We present a rationale for these observations on the basis of: (a) the hierarchically rough surface structure on nano- and micro-scales; (b) its chemical composition; and (c) the balance among competing forces of cohesion (surface tension), adhesion and gravity. -
Kim, Joondong;Patel, Malkeshkumar;Kim, Hong-Sik;Kim, Hyunki;Yadav, Pankaj;Park, Wanghee;Ban, Dongkyun 387.1
Transparent materials are necessary for most photoelectric devices, which allow the light generation from electric energy or vice versa. Metal oxides are usual materials for transparent conductors to have high optical transmittance with good electrical properties. Functional designs may apply in various applications, including solar cells, photodetectors, and transparent heaters. Nanoscale structures are effective to drive the incident light into light-absorbing semiconductor layer to improve solar cell performances. Recently, the new metal oxide materials have inaugurated functional device applications. Nickel oxide (NiO) is the strong p-type metal oxide and has been applied for all transparent metal oxide photodetector by combining with n-type ZnO. The abrupt p-NiO/n-ZnO heterojunction device has a high transmittance of 90% for visible light but absorbs almost entire UV wavelength light to show the record fastest photoresponse time of 24 ms. For other applications, NiO has been applied for solar cells and transparent heaters to induce the enhanced performances due to its optical and electrical benefits. We discuss the high possibility of metal oxides for current and future transparent electronic applications. -
Glass texturing is a sufficient method for changing the surface morphology to enhance the light trapping. In this study, glass texturing was applied to the perovskite solar cell for improving the current density. Glass substrates (back-side glass of FTO coated glass substrate) were textured by randomly structure assisted wet etching process using diluted HF solution at a constant concentration of etchants (HF:H2O=1:1). Then, the light trapping properties of suitable films were controlled over a wide range by varying the etching time (1, 2, 3, 4 and 5 min.). The surface texturing changed the reflected light in an angle that it can be reflected by substrate glass surface. As a result, Current density and cell efficiency were affected by light trapping layer using glass texturing method in perovskite solar cells.
-
The flexible solid state device has been widely studied as portable and wearable device applications such as display, sensor and curved circuits. A zero-bias operation without any external power consumption is a highly-demanding feature of semiconductor devices, including optical communication, environment monitoring and digital imaging applications. Moreover, the flexibility of device would give the degree of freedom of transparent electronics. Functional and transparent abrupt p/n junction device has been realized by combining of p-type NiO and n-type ZnO metal oxide semiconductors. The use of a plastic polyethylene terephthalate (PET) film substrate spontaneously allows the flexible feature of the devices. The functional design of p-NiO/n-ZnO metal oxide device provides a high rectifying ratio of 189 to ensure the quality junction quality. This all transparent metal oxide device can be operated without external power supply. The flexible p-NiO/n-ZnO device exhibit substantial photodetection performances of quick response time of
$68{\mu}s$ . We may suggest an efficient design scheme of flexible and functional metal oxide-based transparent electronics. -
The flexible solid state device has been widely studied as portable and wearable device applications such as display, sensor and curved circuits. A zero-bias operation without any external power consumption is a highly-demanding feature of semiconductor devices, including optical communication, environment monitoring and digital imaging applications. Moreover, the flexibility of device would give the degree of freedom of transparent electronics. Functional and transparent abrupt p/n junction device has been realized by combining of p-type NiO and n-type ZnO metal oxide semiconductors. The use of a plastic polyethylene terephthalate (PET) film substrate spontaneously allows the flexible feature of the devices. The functional design of p-NiO/n-ZnO metal oxide device provides a high rectifying ratio of 189 to ensure the quality junction quality. This all transparent metal oxide device can be operated without external power supply. The flexible p-NiO/n-ZnO device exhibit substantial photodetection performances of quick response time of
$68{\mu}s$ . We may suggest an efficient design scheme of flexible and functional metal oxide-based transparent electronics. -
The present work explains the interfacial energetics of all oxide transparent photodiodes. The optical, structural and morphological of copper oxides were systematically analyse by UV-Visible spectrometer, X-Ray diffraction, Raman spectroscopy, Scanning electron microscopy (SEM) and Atomic force microscopy measurements (AFM). The UV-Visible result exhibits optical bandgap of Cu2O and CuO as 2.2 and 2.05 eV respectively. SEM and AFM result shows a uniform grain size distribution in Cu2O and CuO thin films with the average grain size of 45 and 40 nm respectively. The results of Current-Voltage and Kelvin probe force microscope characteristics describe the electrical responses of the Cu2O/ZnO and CuO/ZnO heterojunctions photodiodes. The obtained electrical response depicts the approximately same knee voltages with a measurable difference in the absolute value of net terminal current. More over the present study realizes the all oxide transparent photodiode with zero bias photocurrent. The presented results lay the template for fabricating and analysing the self-bias all oxide transparent photodetector.
-
Kim, Hyunki;Park, Wanghee;Ban, Dongkyun;Kim, Hong-Sik;Patel, Malkeshkumar;Yadav, Pankaj;Kim, Joondong 390.2
Single crystalline indium-tin-oxide (ITO) nanowires (NWs) were grown by sputtering method. A thin Ni film of 5 nm was coated before ITO sputtering. Thermal treatment forms Ni nanoparticles, which act as templates to diffuse Ni into the sputtered ITO layer to grow single crystalline ITO NWs. Highly optical transparent photoelectric devices were realized by using a transparent metal-oxide semiconductor heterojunction by combining of p-type NiO and n-type ZnO. A functional template of ITO nanowires was applied to this transparent heterojunction device to enlarge the light-reactive surface. The ITO NWs/n-ZnO/p-NiO heterojunction device provided a significant high rectification ratio of 275 with a considerably low reverse saturation current of 0.2 nA. The optical transparency was about 80% for visible wavelengths, however showed an excellent blocking UV light. The nanostructured transparent heterojunction devices were applied for UV photodetectors to show ultra fast photoresponses with a rise time of 8.3 mS and a fall time of 20 ms, respectively. We suggest this transparent and super-performing UV responser can practically applied in transparent electronics and smart window applications. -
Nowadays, research interest in developing the wearable devices are growing remarkably. Portable consumer electronic systems are becoming lightweight, flexible and even wearable. In fact, wearable electronics require energy storage device with thin, foldable, stretchable and conformable properties. Accordingly, developing the flexible energy storage devices with desirable abilities has become the main focus of research area. Among various energy storage devices, supercapacitors have been considered as an attractive next generation energy storage device owing to their advantageous properties of high power density, rapid charge-discharge rate, long-cycle life and high safety. The energy being stored in pseudocapacitors is relatively higher compared to the electrochemical double-layer capacitors, which is due to the continuous redox reactions generated in the electrode materials of pseudocapacitors. Generally, transition metal oxides/hydroxide (such as
$Co_3O_4$ ,$Ni(OH)_2$ ,$NiFe_2O_4$ ,$MnO_2$ ,$CoWO_4$ ,$NiWO_4$ , etc.) with controlled nanostructures (NSs) are used as electrode materials to improve energy storage properties in pseudocapacitors. Therefore, different growth methods have been used to synthesize these NSs. Of various growth methods, electrochemical deposition is considered to be a simple and low-cost method to facilely integrate the various NSs on conductive electrodes. Herein, we synthesized amorphous$NiWO_4$ NSs on cost-effective conductive textiles by a facile electrochemical deposition. The as-grown amorphous$NiWO_4$ NSs served as a flexible and efficient electrode for energy storage applications. -
본 연구에서는 인버티드 유기 태양전지에 적용하기 위한 버퍼 하이브리드 투명 캐소드를 RF/DC magnetron 스퍼터를 이용하여 제작하고 그에 대한 특성평가와 적용 가능성에 대해 연구하였다. 버퍼-캐소드 하이브리드 투명 전극은 ZnO, Ag, ITO를 이용하였고, Ag와 ITO의 두께는 고정한 상태에서 버퍼 역할을 하는 ZnO의 두께를 변수(25 nm ~ 45 nm)로 하여 ZnO두께에 따른 광학적, 전기적, 구조적, 표면 특성을 분석하였다. ZnO/Ag/ITO 하이브리드 투명전극의 최적의 조건에서 92%의 높은 투과도와 7.6 Ohm/square의 낮은 면저항을 갖는 버퍼-캐소드 하이브리드 투명전극을 구현하였다. 제작한 ZnO/Ag/ITO 버퍼 하이브리드 투명전극을 인버티드 유기 태양전지에 적용한 결과 버퍼 ZnO층을 스핀 코팅으로 제작한 인버티트 유기 태양전지에 비해 현저하게 낮은 효율을 나타내는 것을 확인 하였다. 이러한 이유를 규명하기 위해 스핀 코팅한 ZnO박막과 스퍼터 한 ZnO박막의 구조적, 표면적 특성을 비교 분석 하였고, UPS 분석 결과 스핀 코팅한 ZnO 박막의 일함수(3.3 eV)에 비해 스퍼터 한 ZnO박막의 일함수(4.4 eV)가 더 큰 것을 확인 할 수 있었다. 이를 통해 인버티드 유기 태양전지 소자의 효율을 높이기 위해서는 광학적 전기적 특성뿐 아니라 적절한 일함수와 공정방법의 중요성을 확인 하였다.
-
p-type 반도체 물질로 알려진
$Cu_2O$ 에 Li 이온을 doping하면 Cu 이온 자리에 Li이온이 치환되어 p-type의 특성이 더욱 강하게 나타내는 것으로 알려져 있다. 이에 본 연구에서는 RF magnetron sputtering방법으로 성막한 p-type형$Li:Cu_2O$ 박막의 특성을 연구하고 이를$Li:Cu_2O-ZnO$ pn 접합 유연 나노제너레이터에 적용하였다.$Li:Cu_2O$ 성막시$O_2$ 분압을 변수로 100nm 두께의$Li:Cu_2O$ 박막을 성막하여 전기적, 광학적, 구조적, 표면 특성을 분석하였다. Hall measurement 측정 결과$Li:Cu_2O$ 박막은 정공을 Major Carrier로 갖는 p-type 반도체임을 확인하였고,$O_2$ 의 분압이 증가할수록 Mobility 및 Carrier Concentration이 증가함을 확인하였다. 최적조건에서 광학적 투과도는 약 45%를 보였으며, 투과도를 통해 계산한 band gap은 약 2.03eV로써 일반적인 산화물 반도체의 작은 밴드갭을 가지고 있음을 알 수 있었다. 또한 Ellipsometer분석을 통해$Ar:O_2$ 비가$Li:Cu_2O$ 굴절률 및 흡광도에 미치는 영향을 연구하였으며, FE-SEM(Field Emission Scanning Electron Microscope)을 통해 표면을 분석하였다. 또한 XRD(X-ray diffractometer), TEM(Transmission Electron Microscope) 분석을 통하여 상온에서 성막한$Li:Cu_2O$ 박막의 미세구조를 연구하였다. UPS(Ultraviolet Photoelectron Spectroscopy) 분석을 통해 일함수를 측정하였다. 이렇게 제작된 p 타입$Li:Cu_2O$ 박막을 이용하여$Li:Cu_2O-ZnO$ pn 접합을 구현하고 이를 이용해 유연 나노제너레이터를 제작하였다. 다양한 특성 분석을 통해p-type을 이용한 산화물 박막 기반 유연 나노 제너레이터 특성 향상 메커니즘을 제시하였다. -
Cu(In,Ga)Se2 (CIGS) 박막 태양전지는 높은 효율과 낮은 제조비용, 높은 신뢰성으로 인해 박막 태양전지 중 가장 각광받고 있다. 특히 유리기판 대신 가볍고 유연한 철강소재나 플라스틱 소재를 이용하여 발전분야 외에 건물일체형, 수송용, 휴대용등 다양한 분야에 적용이 가능하다. 이러한 유연 기판을 이용한 CIGS 태양전지의 개발을 위해서는 기판의 특성에 따른 다양한 공정개발이 선행되어야 한다. Poly-imide와 같은 유연기판은 공정온도가
$400^{\circ}C$ 이하로 낮고 기판이 매우 얇아 기존 Mo 공정을 개선하여야한다. 이러한 유연기판의 특성을 고려하여 본 연구에서는 기존 bi-layer Mo의 bottom layer의 두께를 조절하여 박막의 strain을 조절하였다. 유연기판으로는 SKC KOLON에서 제조된 GL type의 기판을 사용하였다. 기판의 두께는 50um이다. 먼저 Mo의 bottom layer 두께 비율을 기존 12.5%에서 50%로 증가 시켰으며 전체 박막의 두께 역시 900nm에서 500nm로 두께를 감소시키며 실험을 실시하였다. 그 후 흡수층은 Co-Evaporation 방법을 이용하여 제조하였으며 이때 공정온도는 기존 공정온도에서 450,$400^{\circ}C$ 로 낮추어 흡수층을 제조하였다. 소자 제조 후 초기 Mo의 strain 개선과 저온공정이 적용되지 않은 경우 4.4%에서 공정 최적화 후 13%로 효율이 증가하였다. 제조된 흡수층은 SEM, XRF, XRD등을 이용하여 분석하였으며 그 외 일반적인 방법을 이용하여 Mo, CdS, TCO, Al grid를 제조하였다. AR 코팅은 제외 하였으며 제조된 소자는 솔라 시뮬레이터를 이용하여 효율 특성 분석을 실시하였으며 Q.E. 분석을 실시하였다. -
Moon, Byung Joon;Lee, Kyu Seung;Kim, Sang Jin;Shin, Dong Heon;Oh, Yelin;Lee, Sanghyun;Kim, Tae-Wook;Park, Min;Son, Dong Ick;Bae, Sukang 400.1
Interfacial engineering approaches as an efficient strategy for improving the power conversion efficiencies (PCEs) of inverted polymer solar cells (iPSCs) has attracted considerable attention. Recently, polymer surface modifiers, such as poly(ethyleneimine) (PEI) and polyethylenimine ethoxylated (PEIE), were introduced to produce low WF electrodes and were reported to have good electron selectivity for inverted polymer solar cells (iPSCs) without an n-type metal oxide layer. To obtain more efficient solar cells, quantum dots (QDs) are used as effective sensitizers across a broad spectral range from visible to near IR. Additionally, they have the ability to efficiently generate multiple excitons from a single photon via a process called carrier multiplication (CM) or multiple exciton generation (MEG). However, in general, it is very difficult to prepare a bilayer structure with an organic layer and a QD interlayer through a solution process, because most solvents can dissolve and destroy the organic layer and QD interlayer. To present a more effective strategy for surpassing the limitations of traditional methods, we studied and fabricated the highly efficient iPSCs with mono-layered QDs as an effective multi-functional layer, to enhance the quantum yield caused by various effects of QDs monolayer. The mono-layered QDs play the multi-functional role as surface modifier, sub-photosensitizer and electron transport layer. Using this effective approach, we achieve the highest conversion efficiency of ~10.3% resulting from improved interfacial properties and efficient charge transfer, which is verified by various analysis tools. -
Dye-sensitized solar cells (DSSCs) have been widely investigated as a next generation solar cell because of their simple structure and low manufacturing cost. To realize a commercially competitive technology of DSSCs, it is imperative to employ a technique to prepare nanocrystlline thin film on the flexible organic substrate, aiming at increasing the flexibility and reducing the weight as well as the overall device thickness of DSSCs. The key operation of glass-to-plastic substrates conversion is to prepare mesoporous TiO2 thin film at low temperature with a high surface area for dye adsorption and a high degree of crystallinity for fast transport of electrons. However, the electron transport in the TiO2 film synthesized at low temperature is very poor. So, in this study, TiO2 films synthesized at high temperature were transferred on the selective substrate. We fabricated DSSCs at low temperature using this method. So, we confirmed that the performance of DSSCs using TiO2 films synthesized at high temperature was improved.
-
The performance of solid oxide fuel cells (SOFCs) is directly related to the electrocatalytic activity of composite electrodes in which triple phase boundaries (TPBs) of metallic catalyst, oxygen ion conducting support, and gas should be three-dimensionally maximized. The distribution morphology of catalytic nanoparticle dispersed on external surfaces is of key importance for maximized TPBs. Herein in situ grown nickel nanoparticle onto the surface of fluorite oxide is demonstrated employing gadolium-nickel co-doped ceria (
$Gd0.2-xNixCe0.8O2-{\delta}$ , GNDC) by reductive annealing. GNDC powders were synthesized via a Pechini-type sol-gel process while maximum doping ratio of Ni into the cerium oxide was defined by X-ray diffraction. Subsequently, NiO-GNDC composite were screen printed on the both sides of yttrium-stabilized zirconia (YSZ) pellet to fabricate the symmetrical half cells. Electrochemical impedance spectroscopy (EIS) showed that the polarization resistance was decreased when it was compared to conventional Ni-GDC anode and this effect became greater at lower temperature. Ex situ microstructural analysis using scanning electron microscopy after the reductive annealing exhibited the exsolution of Ni nanoparticles on the fluorite phases. The influence of Ni contents in GNDC on polarization characteristics of anodes were examined by EIS under H2/H2O atmosphere. Finally, the addition of optimized GNDC into the anode functional layer (AFL) dramatically enhanced cell performance of anode-supported coin cells. -
In photoelectrochemical (PEC) water splitting, Cu2ZnSnS4 (CZTS) compound has attracted intense attention as a photocathode due to not only large optical absorption coefficient, but also earth-abundance of constituent elements and suitable band alignment. With rapid development of nanotechnology, one-dimensional nanostructures of CZTS have been investigated as a potential form to achieve high efficiency because the nanostructures are expected to be capable of capturing more light and enhancing charge separation and transport. Here, we report a well-controlled fabrication route for vertically-aligned CZTS nanorod arrays on anodic aluminium oxide (AAO) template via simple sol-gel process followed by deposition of ZnS or CdS buffer layers on the CZTS nanorod to enhance charge separation. The structure, morphology, composition, optical absorption, and PEC properties of the resulting CZTS nanorod samples were characterized using X-ray diffraction, Raman spectroscopy, transmission electron microscopy, energy dispersive X-ray spectrometry, scanning electron microscopy, and UV-vis spectroscopy.
-
일반적으로 실리콘 태양전지의 표면 텍스쳐링 공정방식은 습식 텍스쳐링 방식과 건식 텍스쳐링 방식 2가지로 나뉘어진다. 하지만 현재 습식 텍스쳐링 방식의 경우 Solution을 사용하기 때문에 폐용액으로 인한 환경오염 및 Wafer 오염과 같은 단점을 가지고 있다. 또한 건식 텍스쳐링 방식의 경우는 진공 상태에서 진행되므로 높은 유지 비용이 가장 큰 단점으로 대두 되고 있다. 그러므로 기존의 방식과 다르게 진공을 사용하지 않는 대기압 플라즈마 소스를 텍스쳐링 공정에 적용하였다. 본 연구에서는 대기압 플라즈마 소스로 식각한 Wafer의 반사율을 가스 종류와 유량별 측정하여 분석하였다. 측정된 반사율을 통해 대기압 플라즈마 소스가 텍스쳐링 공정에 적용할 수 있는지 확인하였다.
-
Porous materials play a significant role in energy storage and conversion applications such as catalyst support for polymer electrolyte membrane fuel cell. In particular, hierarchical porous materials with both micropores (poresize,
${\delta}$ < 2 nm) and regularly arranged mesopores (2 nm <${\delta}$ < 50 nm) are known to greatly enhance the efficiency of catalytic reactions by providing enormous surface area as well as fast mass transport channels for both reactants and products from/to active sites. Although it is generally agreed that the microscopic structure of the porous materials directly affects the performance of these catalytic reactions, neither detailed mechanisms nor fundamental understanding are available at hand. In this study, we propose an atomistic model of hierarchical nanostructured porous carbons (HNPCs) in molecular dynamics simulations. By performing a systematic study, we found that structural features of the HNPC can be independently altered by tuning specific synthesis parameters, while remaining other structures unchanged. In addition, we show some structure-property relations including mechanical and gas transport properties. -
Electrochromism is defined as a phenomenon which involves persistently repeated change of optical properties between bleached state and colored state by simultaneous injection of electrons and ions, sufficient to induce an electrochemical redox process. Due to this feature, considerable progress has been made in the synthesis of electrochromic (EC) materials, improvements of EC properties in EC devices such as light shutter, smart window and variable reflectance mirrors etc. Among the variable EC materials, solid-state inorganics in particular, metal oxide semiconducting materials such as nickel oxide (NiO) have been investigated extensively. The NiO that is an anodic EC material is of special interest because of high color contrast ratio, large dynamic range and low material cost. The high performance EC devices should present the use of standard industrial production techniques to produce films with high coloration efficiency, rapid switching speed and robust reversibility. Generally, the color contrast and the optical switching speed increase drastically if high surface area is used. The structure of porous thin film provides a specific surface area and can facilitate a very short response time of the reaction between the surface and ions. The large variety of methods has been used to prepare the porous NiO thin films such as sol-gel process, chemical bath deposition and sputtering. Few studies have been reported on NiO thin films made by using sol-gel method. However, compared with dry process, wet processes that have the questions of the durability and the vestige of bleached state color limit the thin films practical use, especially when prepared by sol-gel method. In this study, we synthesis the porous NiO thin films on the fluorine doped tin oxide (FTO) glass by using sputtering and anodizing method. Also we compared electrical and optical properties of NiO thin films prepared by sol gel. The porous structure is promised to be helpful to the properties enhancement of the EC devices.
-
Cu2ZnSnS4 (CZTS) 박막은 낮은 가격과 유리한 특성을 가지므로서 차세대 박막 태양전지에서 이상적인 흡수층 물질 중 하나로 여겨지고 있다. 우리는 CZTS 박막 태양전지를 합성하는 데 있어서 Zn와 ZnS를 전구체에 사용하여 이에 따른 특성 차이를 연구하였다. 열처리 한 박막은 Zn와 ZnS를 사용하였을 때 특성 차이를 조사하기 위하여 여러 분석을 진행하였다. CZTS 박막의 미세구조와 조성 분포, 전기적 특성을 살펴보았다. Zn를 사용한 CZTS 박막은 큰 입자크기와 더 적은 영역에서 Zn가 집중되어 있는 층을 가진다. CZTS와 Mo 경계인 이 영역에 ZnS 2차상이 존재함을 의미하며 Zn 타겟을 사용하였을 때 더 낮은 Zn 조성을 가지는 것을 확인하였고 이는 결과적으로 접합 특성의 향상을 가져온다. 제작 된 CZTS 태양 전지 소자에서 이러한 이유로 Zn를 사용하였을 때 5.06%로 ZnS를 사용하였을 때에 비하여 더 높은 효율을 얻을 수 있었다.
-
현대 반도체 산업에서 소자의 집적도가 증가함에 따라 층간 절연막의 두께가 줄어들어 RC delay현상이 발생한다. 또한 유연성에 대한 요구도 증가하고 있다. 그 대안으로 저유전 SiO2 무기물, 유무기 복합체, 유기물 등이 크게 각광받고 있다. 본 연구는 SiO2 hollow sphere와 폴리이미드를 이용해 유무기복합체를 합성하고 유전율 측정 및 유연성 테스트를 진행한 내용을 담고있다. 먼저 폴리스티렌에 SiO2를 코팅한 후 폴리이미드 용액과 기계적으로 혼합시킨다. 이후 스핀코팅 및 열처리를 이용해 한단계로 복합체를 합성할수 있다. 합성된 복합체의 유전율은 최소 1.6에 가까운 수치를 나타낸다.
-
Lee, Yong-Min;Choi, Hyun Ji;Kim, Dong In;Lee, Yul Hee;Yu, Jung-Hoon;Kim, Jee Yun;Seo, Hyeon Jin;Hwang, Ki-Hwan;Nam, Sang Hun;Boo, Jin-Hyo 408.2
Theoretically, the dye-sensitized solar cells (DSSCs) are high efficiency solar cells. However DSSCs have low power conversion efficiency (PCE) than silicon based solar cells. In this study, we use the phosphor materials, such as$Y_2O_3:Eu$ (Red),$Zn_2SiO_4:Mn$ (Green),$BaMgAl_{14}O_{23}:Eu$ (Blue), to enhance the PCE of DSSCs. Three phosphors were prepared and used as an effective scattering layer on the transparent$TiO_2$ with doctor blade method. We confirmed that the three scattering layers improve the PCE and Jsc due to the light harvesting enhancement via increased the scattering and absorbance in visible range. Under the sun illumination AM 1.5 conditions, the PCE of the mesoporous$TiO_2$ based DSSCs is 5.18 %. The PCE of the DSSCs with Y2O3:Eu,$Zn_2SiO_4:Mn$ and$BaMgAl_{14}O_{23}:Eu$ as scattering layer were enhanced to 5.66 %, 5.72% and 5.82%, respectably. In order to compare the optical properties change, DSSCs were measured by EQE, reflectance and PCE. At the same time, FE-SEM and XRD were used to confirm the structural changes of each layer. -
Lee, Yulhee;Yu, Jung-Hoon;Nam, Sang-Hun;Seo, Hyeon Jin;Hwang, Ki-Hwan;Kim, Minha;Lee, Jaehyeong;Boo, Jin-Hyo 410.1
Vanadium dioxide ($VO_2$ ) is a well-known material that exhibits a metal-semiconductor transition at 340 K, with drastic change of transmittance at NIR region. However,$VO_2$ based thermochromics accompany with low visible transmittance value and unfavorable color (brownish yellow). Herein, we demonstrate the adjustment of visible transmittance of$VO_2$ thin film by nanosphere template assisted patterning process using sol-gel method. 2-Dimenstional honeycomb shape was varied as function of diameter of nanosphere and coating conditions. The morphological geometry of the films was investigated by FE-SEM and AFM. Result shows that inversed shape of nanosphere was formed clearly and pattern width was altered according to the bead size. This structure creates the geometrical blank area from the position of nanosphere which improves the optical transmittance at the visible region. Moreover, such patterned$VO_2$ thin film not only maintains the optical switching efficiency, but also generate the gorgeous scattering effect which presumably support the glazing application. -
Tandem structure is promising in organic solar cells because of its double open-circuit voltage (VOC) and efficient photon energy conversion. In a typical tandem device, the two single sub-cells are stacked and connected by an interconnecting layer. The fabrication of two sub-cells are usually carried out in a glovebox filled with nitrogen or argon gas, which makes it expensive and laborious. We report a glovebox-free fabricated inverted tandem organic solar cells wherein the tandem structure comprises sandwiched interconnecting layer based on p-doped hole-transporting, metal, and electron-transporting materials. Complete fabrication process of the tandem device was performed outside the glove box. The tandem solar cells based on poly(3-hexylthiophene) (P3HT) and (6,6)-phenyl C61-butyric acid methyl ester (PCBM) can realize a high VOC, which sums up of the two sub-cells. The tandem device structure was ITO/ZnO/P3HT:PCBM/PEDOT:PSS/MoO3/Au/Al/ZnO-d/P3HT:PCBM/PEDOT:PSS/Ag. The separate sub-cells were morphologically and thermally stable up to 160 oC. The high stability of the active layer benefits in the fabrication processes of tandem device. The performance of tandem organic solar cells comes from the sub-cells with an 50 nm thick active layer of P3HT:PCBM, achieving an average power conversion efficiency (PCE) of 2.9% (n=12) with short-circuit current density (JSC) = 4.26 mA/cm2, VOC = 1.10 V, and fill factor (FF) = 0.62. Based on these findings, we propose a new method to improve the performance and stability of tandem organic solar cells.
-
In this study, we examined the effects of boron doping on the dielectric reliability of solution processed aluminum oxide (
$Al_2O_3$ ). When boron is doped in aluminum oxide, the hysteresis reliability is improved from 0.5 to 0.4 V in comparison with the undoped aluminum oxide. And the accumulation capacitance is increased when boron was doped, which implying the reduction of the thickness of dielectric film. The improved dielectric reliability of boron-doped aluminum oxide is originated from the small ionic radius of boron ion and the stronger bonding strength between boron and oxygen ions than that of between aluminum and oxygen ions. Strong boron-oxygen ion bonding in aluminum oxide results dielectric film denser and thinner. The leakage current of aluminum oxide also reduced when boron was doped in aluminum oxide. -
직접 메탄올 연료전지 (DMFCs)는 친환경적이고 낮은 작동 온도로 인한 빠른 구동, 높은 에너지 밀도 등 다양한 장점을 가지고 있어 차세대 에너지 변환소자로 많은 관심을 받고 있다. 직접 메탄올 연료전지는 메탄올을 연료로 사용하며, 메탄올이 보유하고 있는 화학적 에너지를 전기 에너지로 변환하는 장치로써 음극에서는 백금 촉매로 인한 메탄올 산화반응, 양극에서는 환원 반응이 일어나며 전기화학적 구동을 하게 된다. 하지만 일산화탄소 피독으로 인한 촉매 활성 저하, 메탄올의 cross over, 백금 촉매 사용으로 인한 고비용 등의 문제점을 가지고 있다. 따라서 많은 연구자들이 백금 사용량을 줄이고 백금 촉매를 고르게 분포하기 위해 값이 저렴하고 넓은 비표면적을 갖는 탄소계 (graphite, graphene, carbon nanotube, carbon nanofiber 등) 지지체 재료를 도입하고 있다. 이 중 탄소나노섬유 (carbon nanofibers, CNFs)는 우수한 전기전도도와 열적/화학적 안정성을 가지고 있으며, 특히 넓은 비표면적을 가지고 있어 백금 촉매의 지지체로서 많은 연구가 진행되고 있다[1]. 따라서 우리는 전기방사법을 활용하여 넓은 비표면적을 보유하는 다공성 탄소나노섬유를 성공적으로 합성하였다. 또한, 이를 백금 촉매의 지지체로 도입하여 직접 메탄올 연료전지를 위한 다공성 탄소나노섬유에 담지된 고분산성 백금 촉매를 제조하였다. 제조한 다공성 탄소나노섬유의 형상 및 구조 분석은 주사전자 현미경 (field-emission scanning electron microscopy)와 투과전자 현미경 (transmission electron microscopy)를 이용하여 분석하였고, 결정구조와 화학적 결합상태는 X-선 회절분석 (X-ray diffraction) 및 X-선 광전자 분광법 (X-ray photoelectron spectroscopy)를 이용하여 규명하였다. 전기화학적 특성은 순환 전압 전류법 (cyclic voltammetry)를 이용하였다. 이러한 실험 결과들을 바탕으로 다공성 탄소나노섬유에 담지된 고분산성 백금 촉매의 자세한 특성을 본 학회에서 다루도록 하겠다.
-
스크린 프린팅법을 이용한 태양전지의 전극은 주로 고가의 은을 사용하기에 태양전지의 저가화에 한계를 가지고 있다. 고효율 결정질 실리콘 태양전지의 원가절감의 문제 해결방안으로 박형 웨이퍼 연구개발이 많은 관심을 받고 있다. 본 연구에서는 은 전극을 대체 할 수 있는 니켈/구리 전극을 사용하였고, 박형 웨이퍼에서도 전극 공정이 가능한 도금법을 사용하여 전극을 형성 하였다. 니켈 전극형성은 광유도 도금법(Light-Induced Plating), 구리 전극형성은 광유도전해도금법(Light-Induced Electro Plating)을 이용하여 실험을 진행 하였다. 니켈 광유도 도금 공정시 공정시간 3 ~ 9분까지 가변하였다. 니켈실리사이드 형성 위해 열처리 공정을
$300{\sim}450^{\circ}C$ 까지 가변하였고 유지시간 30초 ~ 3분까지 가변하여 실험을 진행하였다. 니켈 도금 수용액의 pH 6 ~ 7.5까지 가변하여 실험하였다. 구리 광유도 전해도금 공정 전류밀도를$1.6mA/cm^2{\sim}6.4mA/cm^2$ 까지 가변하여 실험을 진행 후, 전류밀도$3.2mA/cm^2$ 로 시간 5 ~ 7분까지 가변하여 실험 하였다. 니켈 도금 공정 시간 5분, 니켈실리사이드 형성 열처리 온도$350^{\circ}C$ , 유지시간 1분에서 DIV(Dark I-V) 분석결과 가장 적은 누설전류를 확인하였다. 니켈 도금액 pH 6.5에서 니켈입자 및 구리입자의 균일성이 좋은 최적의 조건임을 확인하였다. 구리 도금 공정 전류밀도$3.2mA/cm^2$ , 시간 5분에서 TLM(Transmission Line Method) 측정결과 접촉 저항$0.39{\Omega}$ 과 접촉 비저항$12.3{\mu}{\Omega}{\cdot}cm^2$ 의 저항을 확인하였다. 도금법을 이용하여 전극을 형성함으로써 접촉저항 및 접촉 비저항이 낮고 전극 품질이 향상됨으로서 셀의 전류밀도$42.49mA/cm^2$ 를 얻을 수 있었다. -
The optimal configuration of a transmutation reactor based on a low aspect ratio tokamak is determined using coupled analysis of tokamak systems and neutron transport. The inboard radial build of the reactor components is obtained from plasma physics and engineering constraints, while outboard radial builds are mainly determined by constraints on a neutron multiplication, a tritium-breeding ratio, and a power density. It is shown that a breeding blanket model has an impact on the radial build of a transmutation blanket. A burn cycle has to be determined to limit a fast neutron fluence of a plasma facing material below a radiation damage limit.
-
2009년도에 Perovskite가 태양전지에 처음 적용된 이후, Perovskite를 기반으로 하는 태양전지는 급속한 발전을 이루고 있으나, 향후 상용화를 위해서는 추가적인 공정개선 및 제조 단가를 낮추는 노력이 필수적이다. 초창기 Perovskite의 증착 공정은 One step deposition 방법이 사용되었으나, Layer의 thickness, uniformity 등을 조절하기 어려워 Sequential deposition 방법으로 개선되었다. 하지만 결과적으로 초기방법 대비 추가공정이 발생함에 따라 시간 및 비용의 증가가 불가피하였다. 제조단가 측면에서는 Perovskite 태양전지를 구성하는 재료 중 HTM(정공수송물질)을 구성하는 Spiro-MeOTAD의 비용이 가장 비싸다. 따라서 저비용 태양전지를 위해서는 HTM이 없는 구조가 필요하다. 이 페이퍼에서는 Perovskite 물질이 고흡광 능력 외에 충분한 전하수송능력을 보유한다는 점에 착안하여, Gas Pressure Assisted Modified One Step Deposition을 이용한 HTM Free Perovskite를 제작하고 기존의 Sequential Deposition Method 통해 만들어진 Perovskite 태양전지와 비교/분석하였다.
-
Triboelectric nanogenerator (TENG) is one of ways to convert mechanical energy sound, waves, wind, vibrations, and human motions to available electrical energy. The principal mechanism to generate electrical energy is based on contact electrification on material surface and electrostatic induction between electrodes. The performance of TENG are dependent on amount of the input mechanical energy and characteristics of triboelectric materials. Furthermore, the whole TENG system including mechanical structure and electrical system can effect on output performance of TENG. In this work, we investigated the effect of gear train on output performance and power conversion efficiency (PCE) of TENG under a given input energy. We applied the gear train on mechanical structure to improve the contact rate. We measured the output energy under a constant input energy by controlling the size of the working gear. We prepared gears with gear ratios (rin/rw) of 1, 1.7, and 5. Under the constant input energy, the voltage and current from our gear-based TENG system were enhanced up to the maximum of 3.6 times and 4.4 times, respectively. Also, the PCE was increased up to 7 times at input frequency of 1.5 Hz. In order to understand the effect of kinematic design on TENG system, we performed a capacitor experiment with rectification circuit that provide DC voltage and current. Under the input frequency of 4.5 Hz, we obtained a 3 times enhanced rectifying voltage at a gear ratio of 5. The measured capacitor voltage was enhanced up to about 8 fold in using our TENG system. It is attributed that our gear-based TENG system could improve simultaneously the magnitude as well as the generation time of output power, finally enhancing output energy. Therefore, our gear-based TENG system provided an effective way to enhance the PCE of TENGs operating at a given input energy.
-
Atomic layer deposition (ALD)를 이용하여 증착된 aluminum oxide (
$Al_2O_3$ )는 우수한 패시베이션 특성을 가지고 있다.$Al_2O_3$ 박막은 많은 수소를 가지고 있기 때문에 화학적 패시베이션에 의한 실리콘 표면을 패시베이션 할 수 있다. 또한$Al_2O_3$ 는 강한 고정전하를 가져 전계 효과 패시베이션을 할 수 있다. 따라서$Al_2O_3$ 박막을 태양전지에 적용할 경우 높은 효율을 기대할 수 있다. 실리콘 태양전지를 제작하기 위해 소성공정(>$800^{\circ}C$ )은 필수이다.$Al_2O_3$ 박막은 많은 수소를 가지고 있기 때문에 소성공정시 수소가스를 방출하여$Al_2O_3$ 박막에 블리스터를 형성시킨다. 이 블리스터는$Al_2O_3/Si$ 계면에서 발생하여 패시베이션 특성을 감소시킨다. 블리스터를 억제하기 위해 수소의 양을 조절할 필요가 있다. 이 실험에서는 plasma-assisted atomic layer deposition (PAALD)으로$Al_2O_3$ 를 증착하였다. PAALD의 RF power를 200 W부터 800 W까지 조절하여$Al_2O_3$ 막에 포함되는 OH의 농도를 조절하였다.$Al_2O_3$ 박막에 포함되는 OH 농도는 X-ray Photoelectron Spectroscopy (XPS)를 이용하여 분석하였다. 열처리공정 후, 화학적 패시베이션에 의한 유효 반송자 수명 (${\tau}_{eff}$ ) 향상이 나타났다 소성공정 후 블리스터가 형성되지 않는 조건에서 화학적 패시베이션과 전계 효과 패시베이션에 의해${\tau}_{eff}$ 가 증가하였다. 블리스터가 형성되었을 때 기존 논문들과 같이 패시베이션 특성이 감소하였다. 패시베이션 특성의 감소는 블리스터에 의한 화학적 패시베이션의 감소 때문이며 전계 효과 패시베이션은 오히려 증가하였다. 이를 통해 고온에서 열안정성을 갖는$Al_2O_3$ 박막을 만들었으며 블리스터가 형성되지 않았고 패시베이션 특성이 증가하였다. -
$Al_2O_3$ passivation layer has excellent passivation properties at p-type Si surface. This$Al_2O_3$ layer forms thin$SiO_2$ layer at the interface. There were some studies about inserting thermal oxidation process to replace naturally grown oxide during$Al_2O_3$ deposition. They showed improving passivation properties. However, thermal oxidation process has disadvantage of expensive equipment and difficult control of thin layer formation. Wet chemical oxidation has advantages of low cost and easy thin oxide formation. In this study,$Al_2O_3$ /$SiO_2/Si(100)$ interface was formed by wet chemical oxidation and PA-ALD process.$SiO_2$ layer at Si wafer was formed by$HCl/H_2O_2$ ,$H_2SO_4/H_2O_2$ and$HNO_3$ , respectively. 20nm$Al_2O_3$ layer on$SiO_2/Si$ was deposited by PA-ALD. This$Al_2O_3/SiO_2/Si(100)$ interface were characterized by capacitance-voltage characteristics and quasi-steady-state photoconductance decay method. -
Colloidal quantum dot (CQD) is emerging as a promising active material for next-generation solar cell applications because of its inexpensive and solution-processable characteristics as well as unique properties such as a tunable band-gap due to the quantum-size effect and multiple exciton generation. However, the most widely used spin-coating method for the formation of the quantum dot (QD) active layers is generally hard to be adopted for high productivity and large-area process. Instead, the spray-coating technique may potentially be utilized for high-throughput production of the CQD solar cells (CQDSCs) because it can be adapted to continuous process and large-area deposition on various substrates although the cell efficiency is still lower than that of the devices fabricated with spin-coating method. In this work, we observed that the subsequent treatment of two different ligands, halide ion and butanedithiol, on the lead sulfide (PbS) QD layer significantly enhanced the cell efficiency of the spray CQDSCs. The maximum power conversion efficiency was 5.3%, comparable to that of the spin-coating CQDSCs.
-
Various fields have been paid attention to upconversion nanoparticles (UCNPs) because of its unique optical properties. Moreover, to use the UC luminescent techniques through cell images for identified apoptosis/necrosis of cancer cells have been performed. They have been studied for a versatile biomedical application such as a biosensing tool, or delivery of active forms of medicines inside living cells. UCNPs have distinctive characteristics such as photoluminescence, special emission, low background fluorescence signal and good colloidal stability, which have many advantages compared with the organic dyes and quantum dots. UCNPs have not only a great potential for imaging (UC luminescence) but also therapies (photo-thermal therapy, PTT and photo-dynamic therapy, PDT) in cancer diagnostics. Therefore, we report the enhancement of upconversion red emission in NaYF4:Yb3+,Er3+ nanoparticles, synthesized via solid-state method with the thermal decomposition of trifluoroacetate as precursors and organic solvent at a high boiling point. The UCNPs have an emission in the field of near infrared wavelength, cubic shape and nano-size in length. In this study, we will further investigate it for cancer therapy with NIR optical detection onto the solid substrate.
-
We studied indium-doped zinc oxide (IZO) film grown by atomic layer deposition (ALD) as transparent conductive oxide (TCO). A variety of TCO layer, such as ZnO:Al (AZO), InSnO2(ITO), Zn (O,S) etc, has been grown by various method, such as ALD, chemical vapor deposition (CVD), sputtering, laser ablation, sol-gel technique, etc. Among many deposition methods, ALD has various advantages such as uniformity of film thickness, film composition, conformality, and low temperature deposition, as compared with other techniques. In this study, we deposited indium-doped zinc oxide thin films using diethyl[bis(trimethylsilyl)amido]indium [Et2InN(TMS)2] as indium precursor, DEZn as zinc precursor and H2O as oxidant for ALD and investigated the optical and electrical properties of IZO films. As an alternative, this liquid In precursor would has several advantages in indium oxide thin-film processes by ALD, especially for low resistance indium oxide thin film and high deposition rate as compared to InCp, InCl3, TMIn precursors etc. We found out that Indium oxide films grown by Et2InN(TMS)2 and H2O precursor show ALD growth mode and ALD growth window. We also found out the different growth rate of Indium oxide as the substrate and investigated the effect of the substrate on Indium oxide growth.
-
Jeong, Sujeong;Kim, Soo Min;Lee, Kyung Dong;Kim, Jae eun;Park, Hyomin;Kang, Yoonmook;Lee, Hae-seok;Kim, Donghwan 421.2
고효율 태양전지에서 후면 반사 방지막은 장파장대(900nm~1200nm) 빛의 내부 반사를 증가시켜 광흡수도를 개선한다. 태양전지 후면에 박형 절연층 구조를 구성함으로써 특정 파장에서 높은 반사도를 얻을 수 있는 Bragg mirror 구조를 이론적으로 계산할 수 있다. Bragg mirror 구조를 이용하여 태양전지의 후면 반사층(Rear reflector layer)을 형성함으로써 태양전지 내부의 광흡수도를 개선할 수 있다. 후면 반사 방지막(Rear anti-reflection coating)으로 사용되는 Al2O3와 SiOxNy 또는 이러한 두 가지 물질의 겹층 구조를 구성하여 장파장대 빛의 반사도 차이에 의한 광흡수도 개선 정도를 광학 시뮬레이션을 통해 계산하였다. 광학 시뮬레이션은 TCAD를 이용하였으며 두 가지 겹층 구조에서 각 반사 방지막의 두께에 따른 단락 전류(Jsc)의 개선 정도, 후면 반사층 두께의 최적화 조건을 계산하였다. 후면 반사방지막을 제외한 기본적인 태양전지 구조는 n-type PERC 구조를 사용하였으며, 후면 반사방지막만의 광학적 특성을 살펴보기 위해 전극은 광학적으로 투명하다고 가정하였다. 반사방지막 두께의 범위는 Al2O3(5-30nm), SiNx(150-300nm), SiOxNy(150-300nm)에서 수행하였으며, 각각 1nm, 2nm 간격으로 진행하였다. Al2O3/SiOxNy 구조에서는 단락 전류가 32.45-32.87mA/cm2 값을 가진다. Al2O3/SiNx 구조에서는 단락 전류가 32.59-32.87mA/cm2 값을 가진다. 결론적으로, 후면 반사방지막의 겹층 구조를 통해 광흡수도를 증가 시킬 수 있으며, TCAD 시뮬레이션을 통하여 입사되는 태양광 스펙트럼에 최적화된 구조를 설계할 수 있다. -
Graphene quantum dots (GQDs), a new kind of carbon-based photo luminescent nanomaterial from chemically modified graphene oxide (CMGO) or chemically modified graphene (CMG), has attracted extensive research attention in the last few years due to its outstanding chemical, optical and electrical properties. To further extended its potential applications as optoelectronic devices, solar cells, bio and bio-sensors and so on, intensive research efforts have been devoted to the CMG. However, the CMG, a suspension of aqueous, have problematic since they are prone to agglomeration after drying a solvent. In this study, we synthesized the GQDs from graphite and deposited on silicon substrate by kinetic spray. The photo luminescent properties of deposited GQD films were analyzed and compared with initial GQDs suspension. In addition, its carbon properties were investigated with GQDs solution properties. The properties of deposited GQD films by kinetic spray were similar to that of the GQDs suspension in water. We could provide a pathway for silicon-based silicon based device applications. Finally, the well-adjusted GQD films with photo luminescence effects will show Energy-Down-Shift layer effects on silicon solar cells. The GQD layers deposited at nozzle scan speeds of 40, 30, 20, and 10 mm/s were evaluated after they were used to fabricate crystalline-silicon solar cells; the results indicate that GQDs play an important role in increasing the optical absorptivity of the cells. The short-circuit current density (Jsc) was enhanced by about 2.94 % (0.9 mA/cm2) at 30 mm/s. Compared to a reference device without a GQD energy-down-shift layer, the PCE of p-type silicon solar cells was improved by 2.7% (0.4 percentage points).
-
스마트폰, 태블릿 등의 디바이스의 발전에 따라 휴대성이 매우 중요해졌다. 디바이스의 크기, 두께, 유연성에 관한 연구가 활발히 진행되고 있으며, 그 중에서도 energy storage device의 flexibility를 향상시키는 연구가 주목 받고 있다. Energy storage device의 성능 향상을 위해서는 power density를 높여야 하며 flexibility를 위해서는 전극판과 전극소재 간의 부착력을 증가시켜야 한다. 본 연구에서는, power density와 소재 간의 부착성을 개선시키기 위해 기존 graphene보다 표면적이 넓으며 power density가 좋고 전극판과의 부착성이 좋은 hybrid GNP-CNT를 사용하였다. 그리고 Ag NWs/CNT PET film 을 사용하여 전도성이 있는 flexible한 전극판을 사용하였다. SEM 측정을 통해 표면 분석을 하였고, sample에 패턴을 하고 Bending test를 하여 부착성을 확인하였다. 또한, CV curve를 측정하여 supercapacitor의 특성을 확인하였다. 향후,
$MnO_2$ NWs를 hybrid GNP-CNT에 합성시킴으로 energy storage device의 energy density를 더욱 향상시키는 연구를 진행할 것이다. -
Polyvinylidene fluoride (PVDF) has drawn much attention due to its many advantages. PVDF shows high mechanical strength and flexibility, thermal stability, and good piezoelectricity enabling its application to various fields such as sensors, actuators, and energy transducers. Further studies have been conducted on PVDF in the form of thin films. The thin films exhibit different ionic conductivity according to the number of pores within the film, letting these films to be applied as electrolytes or separators of batteries. Porous PVDF membranes are also easily processed, usually made by using electrospinning. However, a large portion of researches were conducted using PVDF membranes produced by far field electrospinning, which is not a well-controlled experimental method. In this paper, we use near field electrospinning (NFES) process for more controlled, small-scaled, mesh type PVDF structures of nano to micro fibers fabricated by controlling process parameters and investigate the properties of such membranous structures. These membranes vary according to geometrical shape, pore density, and fiber thickness. We then measured the mechanical strength and piezoelectric characteristic of the structures. With various geometries in the fiber structures and various scales in the fibers, these types of structures can potentially lead to broader applications for stretchable electronics and dielectric electro active polymers.
-
태양전지 모듈은 다층 구조를 형성하고 있으며, 신뢰성과 출력 향상을 위해 다양한 연구가 진행 중에 있다. 이를 위해서 모듈에 사용되는 전면 유리는 AR 코팅과 투과도가 좋은 저철분강화유리를 사용하고, 후면에는 반사도가 높은 Backsheet를 사용하게 된다. 또한 태양전지 모듈의 제작 조건 중 하나는 비슷한 출력을 갖는 태양전지를 사용하는 것이다. 만약 태양전지의 출력 불균일이 발생하게 되면 모듈 전체 출력이 낮아질 뿐만 아니라 출력이 낮은 태양전지가 주변 태양전지보다 높은 온도를 나타낸다. 태양전지 모듈에서 온도 편차가 발생한다는 것은 전지의 출력 불균일이 발생한다고 예상할 수 있는 지표이다. 따라서 태양전지 모듈의 후면에 온도 센서를 부착하여 모니터링하였으며, 태양전지 위치에 따라서 약
$3^{\circ}C$ 의 온도 차이가 발생하는 것을 확인 할 수 있었다. -
태양전지 모듈의 봉지재로 사용되는 EVA(Ethylene-Vinyl acetate)는 외부의 환경으로부터 태양전지를 보호해주는 역할을 한다. 하지만 frame의 실링재나 glass edge 부분의 결함으로 인해 수분이 침투되어 모듈의 내부자재에 영향을 미치게 되며, 모듈의 효율 감소나 수명 단축의 원인이 된다. 이러한 결함을 줄이기 위해서는 EVA의 crosslinking degree, 절연 저항 특성을 향상시키는 것이 중요하다. 이에 본 연구에서는 EVA의 crosslinking degree를 보기 위해 soxhlet extraction method를 이용하여 gel content를 측정하였으며, 라미네이션 과정 중 curing time에 따른 gel content 변화를 확인하였다. 또한 이 실험을 바탕으로 gel content에 따른 절연 저항을 측정하여 EVA의 crosslinking degree에 의한 절연 저항 특성을 확인하였다.
-
Solar cell converts light energy to electric energy. But a solar cell generates low power, PV module is fabricated by connected in series with dozens of solar cell. Owing to solar cell connected in series, power of PV module is influenced by shading or mismatch power of solar cells. To prevent power loss of PV module by shading or mismatch current, Bypass diodes are installed in PV module. Bypass diode operating reverse voltage by shading or mismatch power of solar cells bypass mismatch current. However, bypass diode in module exposed outdoor is easily damaged by surge voltage. In this paper, we confirm characteristics variation of PV module with damaged bypass diode. As a result, power of PV module with damaged bypass diode is reduced and Temperature of that is increased.
-
고온의 플라즈마를 긴 펄스 및 장시간 연속운전 유지기술 개발 및 연구를 위해서는 플라즈마는 더욱 가열되어야 하고, 고온 고밀도의 플즈마 상태를 유지시켜야 한다. 이러한 고성능 플라즈마 개발은 향후 핵융합 에너지의 상용화를 위한 절대필수적 기반기술이다. 현재 KSTAR 토카막에서는 플라즈마를 가열하기 위한 장치들 중 하나로서, 출력 6 MW 급의 중성입자빔을 입사하는 NBI (Neutral Beam Injection) 가열장치가 설치 운영 중에 있다. 이 NBI 가열장치는 진공환경에서 고온, 고압, 고전압 방전 및 수냉 등이 작동 및 운전되고 있기 때문에, 구성 부품 들의 미세한 구조적 결함에도 장치의 치명적 failed로 이어질 수 있다. 이번 연구에서는 NBI 가열장치의 특성상 극한 운전 환경에 있는 진공용기 부품 중 하나 인 빔인출을 위한 가속 그리드 (accelerating grid)의 구조적 손상및 결함 여부를 고속중성자 이미지 기법을 적용하여 내부를 투시 진단하였다. 가속 그리드는 copper로 제작되었고, 빔인출을 위한 원형의 구멍과 냉각관을 가진 평면판 형태로 되었다. 본 연구에서 내부투시 및 진단할 수 있는 고속중성자 이미징 기법의 적용으로 진공용기 부품 및 장치의 구조적 결함 및 손상 여부를 판단 가능하다는 연구 결과를 얻었다.
-
토카막(TOKAMAK) 장치의 진공용기 및 용기내벽은 플라즈마(Plasma)에 의한 고열과 높은 에너지의 이온 입자들에 항상 노출되어 있는 환경이다. 토카막의 일종인 KSTAR장치의 진공용기는 스테인레스강(STS316)계열의 재질로 이루어져 있고, 플라즈마와 면하는 용기 벽면은 플라즈마에 대해 견딜 수 있도록 그라파이트 타일(graphite tile)로 구성되어 있다. 고에너지의 이온 입자들과 열플럭스(Heatflux)는 용기벽면과 용기를 침식시키고, 또한 이렇게 생겨난 분진(dust)들은 진공용기 내 여기저기를 떠다니게 되고, 플라즈마에 대해서 불순물로서 작용하게 된다. 본 연구에서는 감마분석법으로 플라즈마에 의해 진공용기 내에 집적된 분진들의 구성 성분을 분석하여 주요 출처를 규명할 수 있는 방법을 제시하고, KSTAR 플라즈마의 불순물 제어에 유용하게 활용 할 수 있는 데이터를 제공하여 향후 KSTAR의 고성능 플라즈마 기술개발에 일조할 수 있도록 하고자 한다.
-
We report high work function Aluminum doped zinc oxide (AZO) films as insertion layer as a function of O2 flow rate between transparent conducting oxides (TCO) and hydrogenated amorphous silicon oxide (a-SiOx:H) layer to improve open circuit voltage (Voc) and fill factor (FF) for high efficiency thin film solar cell. However, amorphous silicon (a-Si:H) solar cells exhibit poor fill factors due to a Schottky barrier like impedance at the interface between a-SiOx:H windows and TCO. The impedance is caused by an increasing mismatch between the work function of TCO and that of p-type a-SiOx:H. In this study, we report on the silicon thin film solar cell by using as insertion layer of O2 reactive AZO films between TCO and p-type a-SiOx:H. Significant efficiency enhancement was demonstrated by using high work-function layers (4.95 eV at O2=2 sccm) for engineering the work function at the key interfaces to raise FF as well as Voc. Therefore, we can be obtained the conversion efficiency of 7 % at 13mA/cm2 of the current density (Jsc) and 63.35 % of FF.
-
Numerous of researches are being conducted to improve the efficiency of
$Cu_2ZnSnSe_4$ (CZTSe)-based photovoltaic devices, which is one of the most promising candidates for low cost and environment-friendly solar cells. In this work, we concentrate on the back contact of the devices. A proper thickness of$MoSe_2$ in back contact structure is believed to enhance adhesion and ohmic contact between Mo back contact and absorber layer. Nevertheless, too thick$MoSe_2$ layers that are grown during high-temperature selenization process can impede the current collection, thus resulting in low cell performance. By applying molybdenum nitride as a barrier in back contact structure, we were able to control the thickness of$MoSe_2$ layer, which resulted in lower series resistance and higher fill factor of CZTSe devices. The phase transformation of Mo-N binary system was systematically studied by changing$N_2$ concentration during the sputtering process. With a proper phase of Mo-N fabricated by using an adequate partial pressure of$N_2$ , the efficiency of CZTSe solar cells as high as 8.31% was achieved while the average efficiency was improved by about 2% with respect to that of the referent cells where no barrier layer was employed. -
We present the concept of reducible fugitive material that conformally surrounds core Cu nanowire (NW) to fabricate transparent conducting electrode (TCE). Reducing atmosphere can corrodes/erodes the underlying/surrounding layers and might cause undesirable reactions such impurity doing and contamination, so that hydrogen-/forming gas based annealing is impractical to make device. In this regards, we introduce novel reducible shell conformally surrounding indivial CuNW to provide a protection against the oxidation when exposed to both air and solvent. Uniform copper lactate shell formation is readily achievable by injecting lactic acid to the CuNW dispersion as the acid reacts with the surface oxide/hydroxide or pure copper. Cu lactate shell prevents the core CuNW from the oxidation during the storage and/or film formation, so that the core-shell CuNW maintains without signficant oxidation for long time. Upon simple thermal annealing under vacuum or in nitrogen atmosphere, the Cu lactate shell is easily decomposed to pure Cu, providing an effective way to produce pure CuNW network TCE with typically sheet resistance of
$19.8{\Omega}/sq$ and optical transmittance of 85.5% at 550 nm. Our reducible copper lactate core-shell Cu nanowires have the great advantage in fabrication of device such as composite transparent electrodes or solar cells. -
Kang, Junyoung;Hussain, Shahzada Qamar;Kim, Sunbo;Park, Hyeongsik;Le, Anh Huy Tuan;Yi, Junsin 430.2
The front transparent conductive oxide (TCO) films in thin fill solar cell should exhibit high transparency, conductivity, good surface morphology and excellent light scattering properties. The light trapping phenomenon is limited due to random surface structure of TCO films. The proper control of surface structure and uniform cauliflower TCO films may be appropriate for efficient light trapping. We report light trapping scheme of ICP-RIE glass texturing by SF6/Ar plasma for high roughness and haze ratio of ITO films. It was observed that the variation of etching time, pattern size and Ar flow ratio during ICP-RIE process were important factors to improve the diffused transmittance and haze ratio of textured glass. The ICP-RIE textured glass showed low etching rates due to the presence of metal elements like Al, B, F and Na. The ITO films deposited on textured glass substrates showed the high RMS roughness and haze ratio in the visible wavelength region. The change in surface morphology showed negligible influence on electrical and structural properties of ITO films. The ITO films with high roughness and haze ratio can be used to improve the performance of thin film solar cells. -
We demonstrated that the power conversion efficiency (PCE) of bilayer solar cell was significantly enhanced by inserting interfacial layer between the organic bilayer film and the Al electrode. Moreover, the water contact angle shows that the bilayer solar cells suffer from the undesirable surface component which limits the charge transport to the Al electrode. The AFM measurement has revealed that the pre- and post-thermal annealing treatments results in different morphologies of the interfacial layer which is critical for the higher PCE of the bilayer solar cells. Furthermore we have investigated the electrical properties of the bilayer solar cells and obtained insights into the detailed device mechanisms. The transient photovoltage measurements suggests that the significantly enhanced Voc is caused by reducing the recombination at the interface between the organic films and the Al electrode. By inserting the TiO2 layer between the bilayer film and Al electrode, the open circuit voltage (Voc) was increased from 0.37 to 0.66V. Consequently, the power conversion efficiency (PCE) of bilayer solar cells was significantly enhanced from 1.23% to 3.71%. As the results, the TiO2 interfacial layer can be used to form an ohmic contact layer, serveing as a blocking layer to prevent the penetration of the Al, and to reduce the recombination at the interface.
-
A new A-D-A type (Acceptor-Donor-Acceptor) conjugated based on pyridine-borane complex (Donor), non-boron fluorine (Donor) and 2,5-bis(alkyl)-3,6-di(thiophen-2-yl)pyrrolo[3,4-c]pyrrole-1,4(2H,5H)-dione (DPP) (Acceptor) were designed and synthesized via Pd-catalyzed Suzuki cross-coupling reaction. The synthesized boron based complex exhibited high electron affinity, which indicates deep HOMO energy levels and good visible absorption led to their use as donors in BHJ (bulk heterojunction) solar cells. Inverted devices were fabricated, reaching open-circuit voltage as high as 0.91eV. To probe structure-property relationship and search for design principle, we have synthesized pyridine-boron based electron donating small molecules. In this study, we report a new synthetic approach, molecular structure, charge carrier mobility and morphology of blended film and their correlation with the photovoltaic J-V characteristics in details.