• Title/Summary/Keyword: sidewall

Search Result 348, Processing Time 0.029 seconds

CMP of BTO Thin Films using $TiO_2$ and $BaTiO_3$ Mixed Abrasive slurry ($BaTiO_3$$TiO_2$ 연마제 첨가를 통한 BTO박막의 CMP)

  • Seo, Yong-Jin;Ko, Pil-Ju;Kim, Nam-Hoon;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.11a
    • /
    • pp.68-69
    • /
    • 2005
  • BTO ($BaTiO_3$) thin film is one of the high dielectric materials for high-density dynamic random access memories (DRAMs) due to its relatively high dielectric constant. It is generally known that BTO film is difficult to be etched by plasma etching, but high etch rate with good selectivity to pattern mask was required. The problem of sidewall angle also still remained to be solved in plasma etching of BTO thin film. In this study, we first examined the patterning possibility of BTO film by chemical mechanical polishing (CMP) process instead of plasma etching. The sputtered BTO film on TEOS film as a stopper layer was polished by CMP process with the self-developed $BaTiO_3$- and $TiO_2$-mixed abrasives slurries (MAS), respectively. The removal rate of BTO thin film using the$ BaTiO_3$-mixed abrasive slurry ($BaTiO_3$-MAS) was higher than that using the $TiO_2$-mixed abrasive slurry ($TiO_2$-MAS) in the same concentrations. The maximum removal rate of BTO thin film was 848 nm/min with an addition of $BaTiO_3$ abrasive at the concentration of 3 wt%. The sufficient within-wafer non-uniformity (WIWNU%)below 5% was obtained in each abrasive at all concentrations. The surface morphology of polished BTO thin film was investigated by atomic force microscopy (AFM).

  • PDF

Large Eddy Simulation of Rectangular Open-Channel Flow using OpenFOAM (OpenFOAM을 이용한 직사각형 개수로 흐름의 LES)

  • Ban, Chaewoong;Choi, Sung-Uk
    • KSCE Journal of Civil and Environmental Engineering Research
    • /
    • v.34 no.3
    • /
    • pp.833-840
    • /
    • 2014
  • This study presents numerical simulation of turbulent flows in a rectangular open-channel that has a width-to-depth ratio of 2 using the source code provided by OpenFOAM. Large eddy simulations are carried out by solving the filtered continuity and momentum equations numerically. For the non-isotropic residual stress term, Smagorinsky's (1963) model is used. The flow in the open-channel whose width-to-depth ratio is 2, from experiment of Tominaga et al. (1989), is simulated numerically. Simulation results are compared with measured data by Tominga et al. (1989) and Nezu and Rodi (1985) and with LES data by Shi et al. (1999). Comparisons revealed that the model simulates the mean flow and turbulence statistics well. Specifically, the model reproduced the inner secondary currents located at the corner of sidewall and free surface successfully. In addition, the vortical component of turbulence intensity shows bulged contours towards the bottom edge.

A Study on Mold Fabrication and Forming for PDP Barrier Ribs (PDP 격벽 성형용 몰드 제작과 성형에 대한 연구)

  • Jo, In-Ho;Jeong, Sang-Cheol;Jeong, Hae-Do;Son, Jae-Hyuk
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.18 no.5
    • /
    • pp.171-176
    • /
    • 2001
  • Plasma Display Panel(PDP) is a type of flat panel display utilizing the light emission produced by gas discharge. Barrier Ribs of PDP separating each sub-pixel prevents optical and electrical crosstalks from adjacent sub-pixels. Mold for forming barrier ribs has been newly researched to overcome the disadvantages of conventional manufacturing process such as screen printing, sand-blasting and photosensitive glass methods. Mold for PDP barrier ribs have stripes of micro grooves transferring glass-material wall. In this paper, Stripes of grooves of which width 48${\mu}{\textrm}{m}$ and 270${\mu}{\textrm}{m}$, depth 124${\mu}{\textrm}{m}$, pitch 274${\mu}{\textrm}{m}$ was acquired by machining hard and brittle materials of WC, Silicon, Alumina with dicing saw blade. Maximum roughness of the bottom and sidewall of the grooves was respectively 120nm, 287nm in grooving WC. Maximum tilt angle caused by difference between upper-most width and lower-most width was 2$^{\circ}$. Maximum Radius of bottom curvatures was 7.75${\mu}{\textrm}{m}$. This results satisfies the specification for barrier ribs of 50 inch XGA PDP if the groove form of mold was fully transferred to the barrier ribs. Barrier ribs were formed with Silicone rubber mold, which is transferred from grooved hard materials. Silicone rubber mold has elasticity accommodating the waveness of lower glass plate of PDP.

  • PDF

3D Finite Element Analysis of Rock Behavior with Bench Length and Gther Design Parameters of Tunnel (터널의 벤치길이를 중심으로 한 설계변수에 따른 암반거동의 3차원 수치해석)

  • 강준호;정직한;이정인
    • Tunnel and Underground Space
    • /
    • v.11 no.1
    • /
    • pp.30-35
    • /
    • 2001
  • Focusing on the bench length, this paper presents the results of 3-dimensional elafto-plastic FE Analysis un tunnels of full face, mini-bench and short bench excavated in weathered rock. Influences of unsupported span, horizontal to vertical stress ratio, thickness of shotcrete on the behavior of rock and support were a1so studied. Results showed that displacements of mini-bench tunnels responded more sensitively to bench lengths than those of short bench. The effects of bench excavation on upper half displacement increased with longer unsupported span. Horizontal to vertical stress ratio showed a greater influence on displacement and preceding displacement ratio or sidewall rather than those of crown and invert.

  • PDF

In-Situ Dry-cleaning (ISD) Monitoring of Amorphous Carbon Layer (ACL) Coated Chamber

  • Lee, Ho-Jae;Park, George O.;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.183-183
    • /
    • 2012
  • In the era of 45 nm or beyond technology, conventional etch mask using photoresist showed its limitation of etch mask pattern collapse as well as pattern erosion, thus hard mask in etching became necessary for precise control of etch pattern geometry. Currently available hard mask materials are amorphous carbon and polymetric materials spin-on containing carbon or silicon. Amorphous carbon layer (ACL) deposited by PECVD for etch hard mask has appeared in manufacturing, but spin-on carbon (SOC) was also suggested to alleviate concerns of particle, throughput, and cost of ownership (COO) [1]. SOC provides some benefits of reduced process steps, but it also faced with wiggling on a sidewall profile. Diamond like carbon (DLC) was also evaluated for substituting ACL, but etching selectivity of ACL was better than DLC although DLC has superior optical property [2]. Developing a novel material for pattern hard mask is very important in material research, but it is also worthwhile eliminating a potential issue to continuously develop currently existing technology. In this paper, we investigated in-situ dry-cleaning (ISD) monitoring of ACL coated process chamber. End time detection of chamber cleaning not only provides a confidence that the process chamber is being cleaned, but also contributes to minimize wait time waste (WOW). Employing Challenger 300ST, a 300mm ACL PECVD manufactured by TES, a series of experimental chamber cleaning runs was performed after several deposition processes in the deposited film thickness of $2000{\AA}$ and $5000{\AA}$. Ar Actinometry and principle component analysis (PCA) were applied to derive integrated and intuitive trace signal, and the result showed that previously operated cleaning run time can be reduced by more than 20% by employing real-time monitoring in ISD process.

  • PDF

Inductively Coupled Plasma Reactive Ion Etching of MgO Thin Films Using a $CH_4$/Ar Plasma

  • Lee, Hwa-Won;Kim, Eun-Ho;Lee, Tae-Young;Chung, Chee-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.77-77
    • /
    • 2011
  • These days, a growing demand for memory device is filled up with the flash memory and the dynamic random access memory (DRAM). Although DRAM is a reasonable solution for current demand, the universal novel memory with high density, high speed and nonvolatility, needs to be developed. Among various new memories, the magnetic random access memory (MRAM) device is considered as one of good candidate memories because of excellent features including high density, high speed, low operating power and nonvolatility. The etching of MTJ stack which is composed of magnetic materials and insulator such as MgO is one of the vital process for MRAM. Recently, MgO has attracted great interest in the MTJ stack as tunneling barrier layer for its high tunneling magnetoresistance values. For the successful realization of high density MRAM, the etching process of MgO thin films should be investigated. Until now, there were some works devoted to the investigations on etch characteristics of MgO thin films. Initially, ion milling was applied to the etching of MgO thin films. However, ion milling has many disadvantages such as sidewall redeposition and etching damage. High density plasma etching containing the magnetically enhanced reactive ion etching and high density reactive ion etching have been employed for the improvement of etching process. In this work, inductively coupled plasma reactive ion etching (ICPRIE) system was adopted for the improvement of etching process using MgO thin films and etching gas mixes of $CH_4$/Ar and $CH_4$/$O_2$/Ar have been employed. The etch rates are measured by a surface profilometer and etch profiles are observed using field emission scanning emission microscopy (FESEM). The effects of gas concentration and etch parameters such as coil rf power, dc-bias voltage to substrate, and gas pressure on etch characteristics will be systematically explored.

  • PDF

Thermocompression bonding for wafer level hermetic packaging of RF-MEMS devices (RF-MEMS 소자의 웨이퍼 레벨 밀봉 패키징을 위한 열압축 본딩)

  • Park, Gil-Soo;Seo, Sang-Won;Choi, Woo-Beom;Kim, Jin-Sang;Nahm, Sahn;Lee, Jong-Heun;Ju, Byeong-Kwon
    • Journal of Sensor Science and Technology
    • /
    • v.15 no.1
    • /
    • pp.58-64
    • /
    • 2006
  • In this study, we describe a low-temperature wafer-level thermocompression bonding using electroplated gold seal line and bonding pads by electroplating method for RF-MEMS devices. Silicon wafers, electroplated with gold (Au), were completely bonded at $320^{\circ}C$ for 30 min at a pressure of 2.5 MPa. The through-hole interconnection between the packaged devices and external terminal did not need metal filling process and was made by gold films deposited on the sidewall of the throughhole. This process was low-cost and short in duration. Helium leak rate, which is measured to evaluate the reliability of bonded wafers, was $2.7{\pm}0.614{\times}10^{-10}Pam^{3}/s$. The insertion loss of the CPW packaged was $-0.069{\sim}-0.085\;dB$. The difference of the insertion loss between the unpackaged and packaged CPW was less than -0.03. These values show very good RF characteristics of the packaging. Therefore, gold thermocompression bonding can be applied to high quality hermetic wafer level packaging of RF-MEMS devices.

Field Survey of Structural and Environmental Characteristics of Pig Houses in the Central Provinces in Korea (우리나라 중부지방 돈사의 구조 및 환경실태조사)

  • 최홍림;송준익;김현태
    • Journal of Animal Environmental Science
    • /
    • v.5 no.1
    • /
    • pp.1-15
    • /
    • 1999
  • The structural and environmental characteristics of typical pig houses in different growth phases were surveyed and analyzed. Based on the data for thirty six selected farms in four provinces, Gyonggi-do, Gangwon-do, Choongnam, and Chonbook, in Central Korea, the goal is to eventually establish standard pig houses of sow and litter, nursery pigs, and growing-finishing pigs. The survey included farm scale, production specialization, structural dimensions of the houses and their ventilation systems, cooling and heating systems, and floor and pit systems related to manure collection. The survey showed 90∼99% of growing-finishing curtain installation rate was lower by 10∼20%. The sidewall curtain system, although popular, is not well insulated which leads to excessive heating costs in winter. Regarding flooring and manure collection system of the house, there was quite a lot variability among provinces, with 30∼80% of the houses installing scraper systems with concrete-slat floors in comparison with 30∼60% using a slurry system. Gangwon-do and Choongbook Chungwoo-goon are the predominant regions that installed a scraper system. A general trend toward enlargement and enclosure of pig houses for all growth phases was gaining popularity in most regions in recent years. A steady shift to three site production from a lumped system was also observed to prevent a disease transfer. The structural design of a standard pig house with its environmental control systems including ventilation and heating/cooling system was suggested for further validation study. In-depth analysis of the survey data is presented in the Results and Discussing section.

Dry Etching Characteristics of LiNbO3 Single Crystal for Optical Waveguide Fabrication (광도파로 제작을 위한 단결정 LiNbO3 건식 식각 특성)

  • Park, Woo-Jung;Yang, Woo-Seok;Lee, Han-Young;Yoon, Dae-Ho
    • Journal of the Korean Ceramic Society
    • /
    • v.42 no.4
    • /
    • pp.232-236
    • /
    • 2005
  • The etching characteristics of a $LiNbO_{3}$ optical waveguide structure have been investigated using neutral loop discharge plasma with the mixture of $C_{3}F_{8}$ and Ar and the bias power parameters. The etching rate and profile angle of optical waveguide with etching parameters were evaluated by scanning electron microscopy. Also, the etching RMS roughness was evaluated by atomic force microscopy. From the results of optimum etching conditions are the $C_{3}F_{8}$ gas flow ratio of 0.2 and the bias power of 300 W.

High Density Inductively Coupled Plasma Etching of III-V Semiconductors in BCI3Ne Chemistry (BCI3Ne 혼합가스를 이용한 III-V 반도체의 고밀도 유도결합 플라즈마 식각)

  • 백인규;임완태;이제원;조관식
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.12S
    • /
    • pp.1187-1194
    • /
    • 2003
  • A BCl$_3$/Ne plasma chemistry was used to etch Ga-based (GaAs, AIGaAs, GaSb) and In-based (InGaP, InP, InAs and InGaAsP) compound semiconductors in a Planar Inductively Coupled Plasma (ICP) reactor. The addition of the Ne instead of Ar can minimize electrical and optical damage during dry etching of III-V semiconductors due to its light mass compared to that of Ar All of the materials exhibited a maximum etch rate at BCl$_3$ to Ne ratios of 0.25-0.5. Under all conditions, the Ga-based materials etched at significantly higher rates than the In-based materials, due to relatively high volatilities of their trichloride etch products (boiling point CaCl$_3$ : 201 $^{\circ}C$, AsCl$_3$ : 130 $^{\circ}C$, PCl$_3$: 76 $^{\circ}C$) compared to InCl$_3$ (boiling point : 600 $^{\circ}C$). We obtained low root-mean-square(RMS) roughness of the etched sulfate of both AIGaAs and GaAs, which is quite comparable to the unetched control samples. Excellent etch anisotropy ( > 85$^{\circ}$) of the GaAs and AIGaAs in our PICP BCl$_3$/Ne etching relies on some degree of sidewall passivation by redeposition of etch products and photoresist from the mask. However, the surfaces of In-based materials are somewhat degraded during the BCl$_3$/Ne etching due to the low volatility of InCl$_{x}$./.