• 제목/요약/키워드: sidewall

검색결과 348건 처리시간 0.059초

$BaTiO_3$$TiO_2$ 연마제 첨가를 통한 BTO박막의 CMP (CMP of BTO Thin Films using $TiO_2$ and $BaTiO_3$ Mixed Abrasive slurry)

  • 서용진;고필주;김남훈;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2005년도 추계학술대회 논문집 Vol.18
    • /
    • pp.68-69
    • /
    • 2005
  • BTO ($BaTiO_3$) thin film is one of the high dielectric materials for high-density dynamic random access memories (DRAMs) due to its relatively high dielectric constant. It is generally known that BTO film is difficult to be etched by plasma etching, but high etch rate with good selectivity to pattern mask was required. The problem of sidewall angle also still remained to be solved in plasma etching of BTO thin film. In this study, we first examined the patterning possibility of BTO film by chemical mechanical polishing (CMP) process instead of plasma etching. The sputtered BTO film on TEOS film as a stopper layer was polished by CMP process with the self-developed $BaTiO_3$- and $TiO_2$-mixed abrasives slurries (MAS), respectively. The removal rate of BTO thin film using the$ BaTiO_3$-mixed abrasive slurry ($BaTiO_3$-MAS) was higher than that using the $TiO_2$-mixed abrasive slurry ($TiO_2$-MAS) in the same concentrations. The maximum removal rate of BTO thin film was 848 nm/min with an addition of $BaTiO_3$ abrasive at the concentration of 3 wt%. The sufficient within-wafer non-uniformity (WIWNU%)below 5% was obtained in each abrasive at all concentrations. The surface morphology of polished BTO thin film was investigated by atomic force microscopy (AFM).

  • PDF

OpenFOAM을 이용한 직사각형 개수로 흐름의 LES (Large Eddy Simulation of Rectangular Open-Channel Flow using OpenFOAM)

  • 반채웅;최성욱
    • 대한토목학회논문집
    • /
    • 제34권3호
    • /
    • pp.833-840
    • /
    • 2014
  • 본 연구에서는 OpenFOAM에서 제공하는 소스 코드를 이용하여 폭-수심비가 2인 직사각형 개수로 흐름에 대해 수치모의를 수행하였다. 여과된 연속 방정식과 운동량 방정식을 해석하기 위하여 큰 와 수치모의를 이용하였고, 비등방성 잔여 응력항을 산정하기 위하여 Smagorinsky 모형(1963)을 사용하였다. LES 모형을 Tominaga et al. (1989)의 폭-수심비가 2인 실험수로에 적용하고 평균흐름 및 난류량을 비교하였다. 추가로 Nezu and Rodi (1985)의 실험 결과와 Shi et al. (1999)의 LES 모의 결과와 함께 비교를 수행하였다. 비교 결과 평균흐름 및 난류량 모두 기존 실험 및 모의 결과를 잘 재현하는 것으로 확인되었다. 특히 이차흐름 분포도에서 측벽과 자유수면의 접합부에서 발생하는 내부이차흐름이 발생하는 것을 확인하였다. 또한 수심방향 난류강도의 경우 측벽과 바닥벽에서 난류강도의 등치선도가 측벽과 바닥벽의 접합부 방향으로 편향되는 현상을 확인하였다.

PDP 격벽 성형용 몰드 제작과 성형에 대한 연구 (A Study on Mold Fabrication and Forming for PDP Barrier Ribs)

  • 조인호;정상철;정해도;손재혁
    • 한국정밀공학회지
    • /
    • 제18권5호
    • /
    • pp.171-176
    • /
    • 2001
  • Plasma Display Panel(PDP) is a type of flat panel display utilizing the light emission produced by gas discharge. Barrier Ribs of PDP separating each sub-pixel prevents optical and electrical crosstalks from adjacent sub-pixels. Mold for forming barrier ribs has been newly researched to overcome the disadvantages of conventional manufacturing process such as screen printing, sand-blasting and photosensitive glass methods. Mold for PDP barrier ribs have stripes of micro grooves transferring glass-material wall. In this paper, Stripes of grooves of which width 48${\mu}{\textrm}{m}$ and 270${\mu}{\textrm}{m}$, depth 124${\mu}{\textrm}{m}$, pitch 274${\mu}{\textrm}{m}$ was acquired by machining hard and brittle materials of WC, Silicon, Alumina with dicing saw blade. Maximum roughness of the bottom and sidewall of the grooves was respectively 120nm, 287nm in grooving WC. Maximum tilt angle caused by difference between upper-most width and lower-most width was 2$^{\circ}$. Maximum Radius of bottom curvatures was 7.75${\mu}{\textrm}{m}$. This results satisfies the specification for barrier ribs of 50 inch XGA PDP if the groove form of mold was fully transferred to the barrier ribs. Barrier ribs were formed with Silicone rubber mold, which is transferred from grooved hard materials. Silicone rubber mold has elasticity accommodating the waveness of lower glass plate of PDP.

  • PDF

터널의 벤치길이를 중심으로 한 설계변수에 따른 암반거동의 3차원 수치해석 (3D Finite Element Analysis of Rock Behavior with Bench Length and Gther Design Parameters of Tunnel)

  • 강준호;정직한;이정인
    • 터널과지하공간
    • /
    • 제11권1호
    • /
    • pp.30-35
    • /
    • 2001
  • 본 연구에서는 전단면굴착에 가까운 작업 사이클(cycle)로 굴진을 하면서 분할효과를 거두기 위해 적절한 벤치길이를 결정하는 것이 중요한 요소로 판단하여 전단면, 미니벤치, 숏벤치로 풍화암 구간에 굴착한 터널을 모델링하여 이에 대해 3차원 탄소성해석을 수행하였다. 그리고 터널내 암반과 지보재의 거동에 영향을 미치는 주요 요소로 무지보 굴착구간의 길이, 측압계수, 숏크리트 두께 등의 설계변수를 변화시켜가며 암반의 변형거동을 분석하였다. 해석결과 내공변위는 숏벤치보다 미니벤치일때 벤치길이에 민감하게 반응하는 것으로 나타났으며 무지보길이가 길 때 하반굴착이 상반변위에 미치는 영향이 더 크게 나타나며 상반과하반측벽에서의 변위타이가증가하였다 K값의 증가에 따라 천정, 바닥보다 상하반 측벽부에서 변위와 선행변위비의 증가가 훨씬 크게 나타났다.

  • PDF

In-Situ Dry-cleaning (ISD) Monitoring of Amorphous Carbon Layer (ACL) Coated Chamber

  • Lee, Ho-Jae;Park, George O.;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.183-183
    • /
    • 2012
  • In the era of 45 nm or beyond technology, conventional etch mask using photoresist showed its limitation of etch mask pattern collapse as well as pattern erosion, thus hard mask in etching became necessary for precise control of etch pattern geometry. Currently available hard mask materials are amorphous carbon and polymetric materials spin-on containing carbon or silicon. Amorphous carbon layer (ACL) deposited by PECVD for etch hard mask has appeared in manufacturing, but spin-on carbon (SOC) was also suggested to alleviate concerns of particle, throughput, and cost of ownership (COO) [1]. SOC provides some benefits of reduced process steps, but it also faced with wiggling on a sidewall profile. Diamond like carbon (DLC) was also evaluated for substituting ACL, but etching selectivity of ACL was better than DLC although DLC has superior optical property [2]. Developing a novel material for pattern hard mask is very important in material research, but it is also worthwhile eliminating a potential issue to continuously develop currently existing technology. In this paper, we investigated in-situ dry-cleaning (ISD) monitoring of ACL coated process chamber. End time detection of chamber cleaning not only provides a confidence that the process chamber is being cleaned, but also contributes to minimize wait time waste (WOW). Employing Challenger 300ST, a 300mm ACL PECVD manufactured by TES, a series of experimental chamber cleaning runs was performed after several deposition processes in the deposited film thickness of $2000{\AA}$ and $5000{\AA}$. Ar Actinometry and principle component analysis (PCA) were applied to derive integrated and intuitive trace signal, and the result showed that previously operated cleaning run time can be reduced by more than 20% by employing real-time monitoring in ISD process.

  • PDF

Inductively Coupled Plasma Reactive Ion Etching of MgO Thin Films Using a $CH_4$/Ar Plasma

  • Lee, Hwa-Won;Kim, Eun-Ho;Lee, Tae-Young;Chung, Chee-Won
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.77-77
    • /
    • 2011
  • These days, a growing demand for memory device is filled up with the flash memory and the dynamic random access memory (DRAM). Although DRAM is a reasonable solution for current demand, the universal novel memory with high density, high speed and nonvolatility, needs to be developed. Among various new memories, the magnetic random access memory (MRAM) device is considered as one of good candidate memories because of excellent features including high density, high speed, low operating power and nonvolatility. The etching of MTJ stack which is composed of magnetic materials and insulator such as MgO is one of the vital process for MRAM. Recently, MgO has attracted great interest in the MTJ stack as tunneling barrier layer for its high tunneling magnetoresistance values. For the successful realization of high density MRAM, the etching process of MgO thin films should be investigated. Until now, there were some works devoted to the investigations on etch characteristics of MgO thin films. Initially, ion milling was applied to the etching of MgO thin films. However, ion milling has many disadvantages such as sidewall redeposition and etching damage. High density plasma etching containing the magnetically enhanced reactive ion etching and high density reactive ion etching have been employed for the improvement of etching process. In this work, inductively coupled plasma reactive ion etching (ICPRIE) system was adopted for the improvement of etching process using MgO thin films and etching gas mixes of $CH_4$/Ar and $CH_4$/$O_2$/Ar have been employed. The etch rates are measured by a surface profilometer and etch profiles are observed using field emission scanning emission microscopy (FESEM). The effects of gas concentration and etch parameters such as coil rf power, dc-bias voltage to substrate, and gas pressure on etch characteristics will be systematically explored.

  • PDF

RF-MEMS 소자의 웨이퍼 레벨 밀봉 패키징을 위한 열압축 본딩 (Thermocompression bonding for wafer level hermetic packaging of RF-MEMS devices)

  • 박길수;서상원;최우범;김진상;남산;이종흔;주병권
    • 센서학회지
    • /
    • 제15권1호
    • /
    • pp.58-64
    • /
    • 2006
  • In this study, we describe a low-temperature wafer-level thermocompression bonding using electroplated gold seal line and bonding pads by electroplating method for RF-MEMS devices. Silicon wafers, electroplated with gold (Au), were completely bonded at $320^{\circ}C$ for 30 min at a pressure of 2.5 MPa. The through-hole interconnection between the packaged devices and external terminal did not need metal filling process and was made by gold films deposited on the sidewall of the throughhole. This process was low-cost and short in duration. Helium leak rate, which is measured to evaluate the reliability of bonded wafers, was $2.7{\pm}0.614{\times}10^{-10}Pam^{3}/s$. The insertion loss of the CPW packaged was $-0.069{\sim}-0.085\;dB$. The difference of the insertion loss between the unpackaged and packaged CPW was less than -0.03. These values show very good RF characteristics of the packaging. Therefore, gold thermocompression bonding can be applied to high quality hermetic wafer level packaging of RF-MEMS devices.

우리나라 중부지방 돈사의 구조 및 환경실태조사 (Field Survey of Structural and Environmental Characteristics of Pig Houses in the Central Provinces in Korea)

  • 최홍림;송준익;김현태
    • 한국축산시설환경학회지
    • /
    • 제5권1호
    • /
    • pp.1-15
    • /
    • 1999
  • The structural and environmental characteristics of typical pig houses in different growth phases were surveyed and analyzed. Based on the data for thirty six selected farms in four provinces, Gyonggi-do, Gangwon-do, Choongnam, and Chonbook, in Central Korea, the goal is to eventually establish standard pig houses of sow and litter, nursery pigs, and growing-finishing pigs. The survey included farm scale, production specialization, structural dimensions of the houses and their ventilation systems, cooling and heating systems, and floor and pit systems related to manure collection. The survey showed 90∼99% of growing-finishing curtain installation rate was lower by 10∼20%. The sidewall curtain system, although popular, is not well insulated which leads to excessive heating costs in winter. Regarding flooring and manure collection system of the house, there was quite a lot variability among provinces, with 30∼80% of the houses installing scraper systems with concrete-slat floors in comparison with 30∼60% using a slurry system. Gangwon-do and Choongbook Chungwoo-goon are the predominant regions that installed a scraper system. A general trend toward enlargement and enclosure of pig houses for all growth phases was gaining popularity in most regions in recent years. A steady shift to three site production from a lumped system was also observed to prevent a disease transfer. The structural design of a standard pig house with its environmental control systems including ventilation and heating/cooling system was suggested for further validation study. In-depth analysis of the survey data is presented in the Results and Discussing section.

광도파로 제작을 위한 단결정 LiNbO3 건식 식각 특성 (Dry Etching Characteristics of LiNbO3 Single Crystal for Optical Waveguide Fabrication)

  • 박우정;양우석;이한영;윤대호
    • 한국세라믹학회지
    • /
    • 제42권4호
    • /
    • pp.232-236
    • /
    • 2005
  • $LiNbO_{3}$ optical waveguide 구조를 neutral loop discharge plasma 방법으로 식각시 As과 $C\_{3}F_{8}$가 혼합된 가스 유량에 따른 식각속도와 표면조도 값의 특성을 관찰하였다. 식각 후 식각속도와 식각단면은 scanning electron microscopy로 비교 분석하였으며, 표면조도는 atomic force microscopy로 측정하였다. Ar과 $C_{3}F_{8}$가 혼합된 가스 유량비를 각각 0.1-0.5로 증가시킴에 따라 식각속도와 표면조도는 0.2에서 가장 높게 나타났으며, bias power를 증가함에 따라 300W에서 가장 우수한 식각속도와 가장 평탄한 표면 형상을 얻을 수 있었다.

BCI3Ne 혼합가스를 이용한 III-V 반도체의 고밀도 유도결합 플라즈마 식각 (High Density Inductively Coupled Plasma Etching of III-V Semiconductors in BCI3Ne Chemistry)

  • 백인규;임완태;이제원;조관식
    • 한국전기전자재료학회논문지
    • /
    • 제16권12S호
    • /
    • pp.1187-1194
    • /
    • 2003
  • A BCl$_3$/Ne plasma chemistry was used to etch Ga-based (GaAs, AIGaAs, GaSb) and In-based (InGaP, InP, InAs and InGaAsP) compound semiconductors in a Planar Inductively Coupled Plasma (ICP) reactor. The addition of the Ne instead of Ar can minimize electrical and optical damage during dry etching of III-V semiconductors due to its light mass compared to that of Ar All of the materials exhibited a maximum etch rate at BCl$_3$ to Ne ratios of 0.25-0.5. Under all conditions, the Ga-based materials etched at significantly higher rates than the In-based materials, due to relatively high volatilities of their trichloride etch products (boiling point CaCl$_3$ : 201 $^{\circ}C$, AsCl$_3$ : 130 $^{\circ}C$, PCl$_3$: 76 $^{\circ}C$) compared to InCl$_3$ (boiling point : 600 $^{\circ}C$). We obtained low root-mean-square(RMS) roughness of the etched sulfate of both AIGaAs and GaAs, which is quite comparable to the unetched control samples. Excellent etch anisotropy ( > 85$^{\circ}$) of the GaAs and AIGaAs in our PICP BCl$_3$/Ne etching relies on some degree of sidewall passivation by redeposition of etch products and photoresist from the mask. However, the surfaces of In-based materials are somewhat degraded during the BCl$_3$/Ne etching due to the low volatility of InCl$_{x}$./.