• Title/Summary/Keyword: reactive plasma etching

Search Result 171, Processing Time 0.026 seconds

Microstructural Characterization and Plasma Etching Resistance of Thermally Sprayed $Al_2O_3$ and $Y_2O_3$ Coatings

  • Baik, Kyeong-Ho;Lee, Young-Ra
    • Proceedings of the Korean Powder Metallurgy Institute Conference
    • /
    • 2006.09a
    • /
    • pp.234-235
    • /
    • 2006
  • In this study, the plasma sprayed $Al_2O_3$ and $Y_2O_3$ coatings have been investigated for applications of microelectronic components. The plasma sprayed coatings had a well-defined splatted lamellae microstructure, intersplat pores and a higher amount of microcracks within the splats. The plasma sprayed $Y_2O_3$ coating had a relatively lower hardness of 300-400Hv, compared to 650-800Hv for $Al_2O_3$ coating, and would be readily damaged by mechanical attacks such as erosion, wear and friction. For a reactive ion etching against F-containing plasmas, however, the $Y_2O_3$ coating had a much higher resistance than the $Al_2O_3$ coating because of the reduced erosion rate of by-products.

  • PDF

Reactive ion Etching Characterization of SiC Film Deposited by Thermal CVD Method for MEMS Application (MEMS 적용을 위한 Thermal CVD 방법에 의해 증착한 SiC막의 반응성 이온 Etching 특성 평가)

  • 최기용;최덕균;박지연;김태송
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.3
    • /
    • pp.299-304
    • /
    • 2004
  • In recent years, silicon carbide has emerged as an important material for MEMS application. In order to fabricate an SiC film based MEMS structure by using chemical etching method, high operating temperature is required due to high chemical stability Therefore, dry etching using plasma is the best solution. SiC film was deposited by thermal CVD at the temperature of 100$0^{\circ}C$ and pressure of 10 torr. SiC was dry etched with a reactive ion etching (RIE) system, using SF$_{6}$/O$_2$ and CF$_4$/O$_2$ gas mixture. Etch rate has been investigated as a function of oxygen concentration in the gas mixture, rf power, working pressure and gas flow rate. Etch rate was measured by surface profiler and FE-SEM. SF$_{6}$/O$_2$ gas mixture showed higher etch rate than CF$_4$/O$_2$ gas mixture. Maximum etch rate appeared at RF Power of 450W. $O_2$ dilute mixtures resulted in an increasing of etch rate up to 40%, and the superior anisotropic cross section was observe

Reactive ion etching characterization of SiC film deposited by thermal CVD method for MEMS application (MEMS 적용을 위한 thermal CVD 방법에 의해 증착한 SiC막의 etching 특성 평가)

  • Choi, Gi-Yong;Choi, Duck-Kyun;Park, Ji-Yeon;Kim, Tae-Song
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07b
    • /
    • pp.868-871
    • /
    • 2003
  • In recent years, silicon carbide has emerged as an important material for MEMS application. In order to fabricate an SiC film based MEMS structure by using chemical etching method, high operating temperature is required due to high chemical stability. Therefore, dry etching using plasma is the best solution. SiC film was deposited by thermal CVD at the temperature of $1000^{\circ}C$ and pressure of 10 torr. SiC was dry etched with a reactive ion etching (RIE) system, using $SF_6/O_2$ and $CF_4/O_2$ gas mixture. Etch rate have been investigated as a function of oxygen concentration in the gas mixture, RF power, and working pressure. Etch rate was measured by surface profiler and FE-SEM. $SF_6/O_2$ gas mixture has been shown high etch rate than $CF_4/O_2$ gas mixture. Maximum etch rate appeared at 450W of RF power. $O_2$ dilute mixtures resulted in an increasing of etch rate up to 40%, and the superior anisotropic cross section was observed.

  • PDF

C-V Characterization of Plasma Etch-damage Effect on (100) SOI (Plasma Etch Damage가 (100) SOI에 미치는 영향의 C-V 특성 분석)

  • Jo, Yeong-Deuk;Kim, Ji-Hong;Cho, Dae-Hyung;Moon, Byung-Moo;Cho, Won-Ju;Chung, Hong-Bay;Koo, Sang-Mo
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.21 no.8
    • /
    • pp.711-714
    • /
    • 2008
  • Metal-oxide-semiconductor (MOS) capacitors were fabricated to investigate the plasma damage caused by reactive ion etching (RIE) on (100) oriented silicon-on-insulator (SOI) substrates. The thickness of the top-gate oxide, SOI, and buried oxide layers were 10 nm, 50 nm, and 100 nm, respectively. The MOS/SOI capacitors with an etch-damaged SOI layer were characterized by capacitance-voltage (C-V) measurements and compared to the sacrificial oxidation treated samples and the reference samples without etching. The measured C-V curves were compared to the numerical results from corresponding 2-dimensional (2-D) structures by using a Silvaco Atlas simulator.

Etch Characteristics of MgO Thin Films in Cl2/Ar, CH3OH/Ar, and CH4/Ar Plasmas

  • Lee, Il Hoon;Lee, Tea Young;Chung, Chee Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.387-387
    • /
    • 2013
  • Currently, the flash memory and the dynamic random access memory (DRAM) have been used in a variety of applications. However, the downsizing of devices and the increasing density of recording medias are now in progress. So there are many demands for development of new semiconductor memory for next generation. Magnetic random access memory (MRAM) is one of the prospective semiconductor memories with excellent features including non-volatility, fast access time, unlimited read/write endurance, low operating voltage, and high storage density. MRAM is composed of magnetic tunnel junction (MTJ) stack and complementary metal-oxide semiconductor (CMOS). The MTJ stack consists of various magnetic materials, metals, and a tunneling barrier layer. Recently, MgO thin films have attracted a great attention as the prominent candidates for a tunneling barrier layer in the MTJ stack instead of the conventional Al2O3 films, because it has low Gibbs energy, low dielectric constant and high tunneling magnetoresistance value. For the successful etching of high density MRAM, the etching characteristics of MgO thin films as a tunneling barrier layer should be developed. In this study, the etch characteristics of MgO thin films have been investigated in various gas mixes using an inductively coupled plasma reactive ion etching (ICPRIE). The Cl2/Ar, CH3OH/Ar, and CH4/Ar gas mix were employed to find an optimized etching gas for MgO thin film etching. TiN thin films were employed as a hard mask to increase the etch selectivity. The etch rates were obtained using surface profilometer and etch profiles were observed by using the field emission scanning electron microscopy (FESEM).

  • PDF

High density plasma etching of CoFeB and IrMn magnetic films with Ti hard mask

  • Xiao, Y.B.;Kim, E.H.;Kong, S.M.;Chung, C.W.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.233-233
    • /
    • 2010
  • Magnetic random access memory (MRAM), based on magnetic tunnel junction (MTJ) and CMOS, is a prominent candidate among prospective semiconductor memories because it can provide nonvolatility, fast access time, unlimited read/write endurance, low operating voltage and high storage density. The etching of MTJ stack with good properties is one of a key process for the realization of high density MRAM. In order to achieve high quality MTJ stack, the use of CoFeB and IrMn magnetic films as free layers was proposed. In this study, inductively coupled plasma reactive ion etching of CoFeB and IrMn thin films masked with Ti hard mask was investigated in a $Cl_2$/Ar gas mix. The etch rate of CoFeB and IrMn films were examined on varying $Cl_2$ gas concentration. As the $Cl_2$ gas increased, the etch rate monotonously decreased. The effective of etch parameters including coil rf power, dc-bais voltage, and gas pressure on the etch profile of CoFeB and IrMn thin film was explored, At high coil rf power, high dc-bais voltage, low gas pressure, the etching of CoFeB and IrMn displayed better etch profiles. Finally, the clean and vertical etch sidewall of CoFeB and IrMn free layers can be achieved by means of thin Ti hard mask in a $Cl_2$/Ar plasma at the optimized condition.

  • PDF

Effect of Hexafluoroisopropanol Addition on Dry Etching of Cu Thin Films Using Organic Material (유기 물질을 사용한 구리박막의 건식 식각에 대한 헥사플루오로이소프로판올 첨가의 영향)

  • Park, Sung Yong;Lim, Eun Teak;Cha, Moon Hwan;Lee, Ji Soo;Chung, Chee Won
    • Korean Journal of Materials Research
    • /
    • v.31 no.3
    • /
    • pp.162-171
    • /
    • 2021
  • Dry etching of copper thin films is performed using high density plasma of ethylenediamine (EDA)/hexafluoroisopropanol (HFIP)/Ar gas mixture. The etch rates, etch selectivities and etch profiles of the copper thin films are improved by adding HFIP to EDA/Ar gas. As the EDA/HFIP concentration in EDA/HFIP/Ar increases, the etch rate of copper thin films decreases, whereas the etch profile is improved. In the EDA/HFIP/Ar gas mixture, the optimal ratio of EDA to HFIP is investigated. In addition, the etch parameters including ICP source power, dc-bias voltage, process pressure are varied to examine the etch characteristics. Optical emission spectroscopy results show that among all species, [CH], [CN] and [H] are the main species in the EDA/HFIP/Ar plasma. The X-ray photoelectron spectroscopy results indicate the formation of CuCN compound and C-N-H-containing polymers during the etching process, leading to a good etch profile. Finally, anisotropic etch profiles of the copper thin films patterned with 150 nm scale are obtained in EDA/HFIP/Ar gas mixture.