• Title/Summary/Keyword: proximity correction

Search Result 41, Processing Time 0.016 seconds

A Study on Pattern Fabrication using Proximity Effect Correction in E-Beam Lithography (전자빔 리소그래피에서의 근접효과 보정을 이용한 패턴 제작에 관한 연구)

  • Oh, Se-Kyu;Kim, Dong-Hwan;Kim, Seung-Jae
    • Journal of the Semiconductor & Display Technology
    • /
    • v.8 no.2
    • /
    • pp.1-10
    • /
    • 2009
  • This study describes the electron beam lithography pattern fabrication using the proximity effect correction. When electron beam exposes into electron beam resist, the beam tends to spread inside the substance (forward scattering). And the electron beam reflected from substrate spreads again (back scattering). These two effects influence to distribution of the energy and give rise to a proximity effect while a small pattern is generated. In this article, an electron energy distribution is modeled using Gaussian shaped beam distribution and those parameters in the model are computed to solidify the model. The proximity effect is analyzed through simulations and appropriate corrections to reducing the proximity effect are suggested. It is found that the proximate effect can be reduced by adopting schemes of dose adjustment, and the optimal dose is determined through simulations. The proposed corrected proximity effect correction is proved by experiments.

  • PDF

Laser Process Proximity Correction for Improvement of Critical Dimension Linearity on a Photomask

  • Park, Jong-Rak;Kim, Hyun-Su;Kim, Jin-Tae;Sung, Moon-Gyu;Cho, Won-Il;Choi, Ji-Hyun;Choi, Sung-Woon
    • ETRI Journal
    • /
    • v.27 no.2
    • /
    • pp.188-194
    • /
    • 2005
  • We report on the improvement of critical dimension (CD) linearity on a photomask by applying the concept of process proximity correction to a laser lithographic process used for the fabrication of photomasks. Rule-based laser process proximity correction (LPC) was performed using an automated optical proximity correction tool and we obtained dramatic improvement of CD linearity on a photomask. A study on model-based LPC was executed using a two-Gaussian kernel function and we extracted model parameters for the laser lithographic process by fitting the model-predicted CD linearity data with measured ones. Model-predicted bias values of isolated space (I/S), arrayed contact (A/C) and isolated contact (I/C) were in good agreement with those obtained by the nonlinear curve-fitting method used for the rule-based LPC.

  • PDF

Gate CD Control for memory Chip using Total Process Proximity Based Correction Method

  • Nam, Byung--Ho;Lee, Hyung-J.
    • Journal of the Optical Society of Korea
    • /
    • v.6 no.4
    • /
    • pp.180-184
    • /
    • 2002
  • In this study, we investigated mask errors, photo errors with attenuated phase shift mask and off-axis illumination, and etch errors in dry etch conditions. We propose that total process proximity correction (TPPC), a concept merging every process step error correction, is essential in a lithography process when minimum critical dimension (CD) is smaller than the wavelength of radiation. A correction rule table was experimentally obtained applying TPPC concept. Process capability of controlling gate CD in DRAM fabrication should be improved by this method.

Scattering Bar Optical Proximity Correction to Suppress Overlap Error and Side-lobe in Semiconductor Lithography Process (Overlap Margin 확보 및 Side-lobe 억제를 위한 Scattering Bar Optical Proximity Correction)

  • 이흥주
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.4 no.1
    • /
    • pp.22-26
    • /
    • 2003
  • Overlap Errors and side-lobes have been simultaneously solved by the rule-based correction using the rules extracted from test patterns. Lithography process parameters affecting attPSM lithography process have been determined by the fitting method to the real process data. The correction using scattering bars has been compared to the Cr shield method. The optimal insertion rule of the scattering bal's has made it possible to suppress the side-lobes and to enhance DOF at the same time. Therefore, in this paper, the solution to both side-lobe and overlap Error has been proposed using rule-based confection. Compared to the existing Cr shield method, the proposed rule-based correction with scattering bars can reduce the process complexity and time for mask production.

  • PDF

Analysis of Process Parameters to Improve On-Chip Linewidth Variation

  • Jang, Yun-Kyeong;Lee, Doo-Youl;Lee, Sung-Woo;Lee, Eun-Mi;Choi, Soo-Han;Kang, Yool;Yeo, Gi-Sung;Woo, Sang-Gyun;Cho, Han-Ku;Park, Jong-Rak
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.4 no.2
    • /
    • pp.100-105
    • /
    • 2004
  • The influencing factors on the OPC (optical proximity correction) results are quantitatively analyzed using OPCed L/S patterns. ${\sigma}$ values of proximity variations are measured to be 9.3 nm and 15.2 nm for PR-A and PR-B, respectively. The effect of post exposure bake condition is assessed. 16.2 nm and 13.8 nm of variations are observed. Proximity variations of 11.6 nm and 15.2 nm are measured by changing the illumination condition. In order not to seriously deteriorate the OPC, these factors should be fixed after the OPC rules are extracted. Proximity variations of 11.4, 13.9, and 15.2 nm are observed for the mask mean-to-targets of 0, 2 and 4 nm, respectively. The decrease the OPC grid size from 1 nm to 0.5 nm enhances the correction resolution and the OCV is reduced from 14.6 nm to 11.4 nm. The enhancement amount of proximity variations are 9.2 nm corresponding to 39% improvement. The critical dimension (CD) uniformity improvement for adopting the small grid size is confirmed by measuring the CD uniformity on real SRAM pattern. CD uniformities are measured 9.9 nm and 8.7 nm for grid size of 1 nm and 0.5 nm, respectively. 22% improvement of the CD uniformity is achieved. The decrease of OPC grid size is shown to improve not only the proximity correction, but also the uniformity.

Optical Proximity Correction of Photomask with a Monte-Carlo Method (몬테-칼로 기법을 사용한 포토마스크의 결상 왜곡 보정)

  • 이재철;오용호;임성우
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.35D no.10
    • /
    • pp.76-82
    • /
    • 1998
  • As the minimum feature size of a semiconductor chip gets smaller, the inevitable distortion of patterned image by optical lithography becomes the limiting factor in the mass production of VLSI. The optical proximity correction (OPC), which corrects pattern distortion that originates from the resolution limit of optical lithography, is becoming indispensable technology. In this paper, we describe a program that corrects optical proximity effect and thus finds the optimum mask pattern with a Monte-Carlo method. The program was applied to real memory cell patterns to produce mask patterns that generate image patterns closer to object images than original mask patterns, and increase of process margin is expected, as well.

  • PDF

Resolution Limit Analysis of Isolated Patterns Using Optical Proximity Correction Method with Attenuated Phase Shift Mask (Attenuated Phase Shift Mask에 광 근접 효과 보정을 적용한 고립 패턴의 해상 한계 분석)

  • 김종선;오용호;임성우;고춘수;이재철
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.13 no.11
    • /
    • pp.901-907
    • /
    • 2000
  • As the minimum feature size for making ULSI approaches the wavelength of light source in optical lithography, the aerial image is so hardly distorted because of the optical proximity effect that the accurate mask image reconstruction on wafer surface is almost impossible. We applied the Optical Proximity Correction(OPC) on isolated patterns assuming Attenuated Phase Shift Mask(APSM) as well as binary mask, to correct the widening of isolated patterns. In this study, we found that applying OPC to APSM shows much better improvement not only in enhancing the resolution and fidelity of t도 images but also in enhancing the process margin than applying OPC to the binary mask. Also, we propose the OPC method of APSM for isolated patterns, the size of which is less than the wavelength of the ArF excimer laser. Finally, we predicted the resolution limit of optical lithography through the aerial image simulation.

  • PDF

Ranging the Distance Between Wireless Sensor Nodes Using the Deviation Correction Method of Received Signal Strength (수신신호세기의 편차 보정법을 이용한 무선센서노드 간의 거리 추정)

  • Lee, Jin-Young;Kim, Jung-Gyu
    • IEMEK Journal of Embedded Systems and Applications
    • /
    • v.7 no.2
    • /
    • pp.71-78
    • /
    • 2012
  • Based on the Zigbee-based wireless sensor network, I suggest the way to reduce errors between the short distance, improving the accuracy of the presumed distance by revising the deviation of RSSI(Received Signal Strength Indication) values is to estimate the distance using only the RF signal power without the additional hardware. In general, the graph measured by RSSI values shows the proximity values which are ideally reduced in proportion to the distance under the free outdoor space in which LOS(Line-Of-Sight) is guaranteed. However, if the result of the received RSSI values are each substituted to the formula, it can produce a larger margin of error and less accurate measurement since it is based upon the premise that this free space is not affected by reflected waves or obstacles caused by the ground and electronic jamming engendered by the environment. Therefore, the purpose of this study is to reduce the margin of errors between the distances and to measure the proximity values with the ideal type of graph by suggesting the way to revise the received RSSI values in the light of these reflected waves or obstacles and the electronic jamming. In conclusion, this study proves that errors are reduced by comparing the proposed deviation correction method to the revised RSSI value.

Coregistration of QuickBird Imagery and Digital Map Using a Modified ICP Algorithm (수정된 ICP알고리즘을 이용한 수치지도와 QuickBird 영상의 보정)

  • Han, Dong-Yeob;Eo, Yang-Dam;Kim, Yong-Hyun;Lee, Kwang-Jae;Kim, Youn-Soo
    • Journal of the Korean Society of Surveying, Geodesy, Photogrammetry and Cartography
    • /
    • v.28 no.6
    • /
    • pp.621-626
    • /
    • 2010
  • For geometric correction of high-resolution images, the authors matched corresponding objects between a large-scale digital map and a QuickBird image to obtain the coefficients of the first order polynomial. Proximity corrections were performed, using the Boolean operation, to perform automated matching accurately. The modified iterative closest point (ICP) algorithm was used between the point data of the surface linear objects and the point data of the edge objects of the image to determine accurate transformation coefficients. As a result of the automated geometric correction for the study site, an accuracy of 1.207 root mean square error (RMSE) per pixel was obtained.

A 7.6 mW 2 Gb/s Proximity Transmitter for Smartphone-Mirrored Display Applications

  • Liu, Dang;Liu, Xiaofeng;Rhee, Woogeun;Wang, Zhihua
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.16 no.4
    • /
    • pp.415-424
    • /
    • 2016
  • This paper describes a high data rate proximity transmitter design for high resolution smartphone-mirrored display applications. A 2 Gb/s transmitter is designed with a low transmission power of -70 dBm/MHz and a wide bandwidth of nearly 3 GHz. A digital pre-correction method is employed in the transmitter to mitigate the inter-symbol interference problem. A carrier-based digital pulse shaping and a reconfigurable digital envelope generation methods are employed for robust operation by utilizing 20 phases from a 2 GHz phase-locked loop. A 6.5-9.5 GHz transmitter implemented in 65 nm CMOS achieves the maximum data rate of 2 Gb/s, consuming only 7.6 mW from a 1 V supply.