• Title/Summary/Keyword: patterning process

Search Result 443, Processing Time 0.033 seconds

Direct Patterning Technology of Indium Tin Oxide Layer using Nd:$YVO_4$ Laser Beam (Nd:$YVO_4$ 레이저 빔을 이용한 인듐 주석 산화물 직접 묘화 기술)

  • Kim, Kwang-Ho;Kwon, Sang-Jik
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.45 no.11
    • /
    • pp.8-12
    • /
    • 2008
  • For the reduction of fabrication cost and process time of AC plasma display panel (PDP), indium tin oxide (ITO) layer was patterned as bus electrode using Nd:$YVO_4$ laser. In comparison with the chemically wet etched ITO patterns, laser ablated ITO patterns showed the formation of shoulders and ripple-like structures at the edge of the ITO lines. For the reduction of shoulders and ripple-like structures, pulse repetition rate and scan velocity of laser was changed. In addition, we analyzed a discharge characteristic of PDP test panel to observe how the shoulders and ripple-like structures influence on the PDP. Based on experimental results, the pattern etched at the 500 mm/s and 40 kHz was better than any other condition. From this experiment we could see the possibility of the laser direct patterning for the application to the patterning of ITO in AC-PDP.

A Study on Traditional Clothing Habit of West Africans (남아프리카 전통 복식문화 고찰 I)

  • 황춘섭
    • Journal of the Korean Society of Costume
    • /
    • v.18
    • /
    • pp.97-110
    • /
    • 1992
  • West African's traditional looms, weaving and raw materials, structural patterning, dyeing and basic forms of dress were examines in the present study in order to deepen the appreciation of the cultural heritage of West Africa, and to make a contribution to the policy planning for export market developing The research method employed was the analysis f written materials. The study was limited to the traditional clothing habit which is preserved and practicing by them at the present day and the origin and the process of the historical development of those are not included in the scope of the present study. Followings are the results of the study: (1) They have vertical single-heddle loom horizontal or ground single-heddle loom, and double-heddle loom. The width of the cloth produced on the single-heddle loom varies about 38.5cm to 123cm and double-heddle looms all produced on the single-heddle looms all produced narrow strips of cloth varying in width from about 1.3cm to 75cm, although the average is about 10-20cm. (2) Despite the relative simplicity of the llom technology a remarkable variety of textiles are produced. (3) The most popular decorative technique in West African compound weaves is extra-weft patterning which is produced on both single-heddle and double-heddle loom by men and women weavers. Other forms of secondary patterning on textiles in West Africa are dyeing, applique, patchwork and embroidery. (4) Two basic forms of dress have spread throughout West Africa, the poncho (bpibpi) and the wrapper. Some versions of these basic forms are supplemented by western inspired trousers, shirts and blouses coupled with accessories usually complete their traditional outfits. They have a great variety of basic poncho, like as Khasa, Gandura, Tuareg-poncho, Babariga, Rigas (agba-da), Grand-boubou, Afteck, Tagua, buba, Danshike etc. Although West Africa has long been in contact with the peoples of the Nile region as well as the Maghreb and Sahara, both the boubou styles and the wrapper styles appear to have developed with a minimum of outside influence. African Islam was the principal agent for the diffusion of the boubou styles.

  • PDF

Study on the Compositions of Photosensitive Resistor Paste Using Epoxy Acrylate Oligomers and Conductive Carbonblack (에폭시 아크릴레이트 올리고머와 전도성 카본블랙을 이용한 감광성 저항 페이스트 조성 연구)

  • Park, Seong-Dae;Kang, Nam-Kee;Lim, Jin-Kyu;Kim, Dong-Kook
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.421-421
    • /
    • 2008
  • Generally, the polymer thick-film resistors for embedded organic or hybrid substrate are patterned by screen printing so that the accuracy of resistor pattern is not good and the tolerance of resistance is too high(${\pm}$20~30%). To reform these demerits, a method using Fodel$^{(R)}$ technology, which is the patterning method using a photosensitive resin to be developable by aqueous alkali-solution as a base polymer for thick-film pastes, was recently incorporated for the patterning of thermosetting thick-film resistor paste. Alkali-solution developable photosensitive resin system has a merit that the precise patterns can be obtained by UV exposure and aqueous development, so the essential point is to get the composition similar to PSR(photo solder resist) used for PCB process. In present research, we made the photopatternable resistor pastes using 8 kinds of epoxy acrylates and a conductive carbonblack (CDX-7055 Ultra), evaluated their developing performance, and then measured the resistance after final curing. To become developable by alkali-solution, epoxy acrylate oligomers with carboxyl group were prepared. Test coupons were fabricated by patterning copper foil on FR-4 CCL board, plating Ni/Au on the patterned copper electrode, applying the resistor paste on the board, exposing the applied paste to UV through Cr mask with resistor patterns, developing the exposed paste with aqueous alkali-solution (1wt% $Na_2CO_3$), drying the patterned paste at $80^{\circ}C$ oven, and then curing it at $200^{\circ}C$ during 1 hour. As a result, some test compositions couldn't be developed according to the kind of oligomer and, in the developed compositions, the measured resistance showed different results depending on the paste compositions though they had the same amount of carbonblack.

  • PDF

Laser Patterning of Vertically Grown Carbon Nanotubes (수직성장된 탄소나노튜브의 선택적 패터닝)

  • Chang, Won Seok
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.36 no.12
    • /
    • pp.1171-1176
    • /
    • 2012
  • The selective patterning of a carbon nanotube (CNT) forest on a Si substrate has been performed using a femtosecond laser. The high shock wave generated by the femtosecond laser effectively removed the CNTs without damage to the Si substrate. This process has many advantages because it is performed without chemicals and can be easily applied to large-area patterning. The CNTs grown by plasma-enhanced chemical vapor deposition (PECVD) have a catalyst cap at the end of the nanotube owing to the tip-growth mode mechanism. For the application of an electron emission and biosensor probe, the catalyst cap is usually removed chemically, which damages the surface of the CNT wall. Precise control of the femtosecond laser power and focal position could solve this problem. Furthermore, selective CNT cutting using a femtosecond laser is also possible without any phase change in the CNTs, which is usually observed in the focused ion beam irradiation of CNTs.

Fabrication of Graphene-based Flexible Devices Utilizing Soft Lithographic Patterning Method

  • Jung, Min Wook;Myung, Sung;Kim, Kiwoong;Jo, You-Young;Lee, Sun Suk;Lim, Jongsun;Park, Chong-Yun;An, Ki-Seok
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.165-165
    • /
    • 2014
  • In this study, we demonstrated that the soft lithographic patterning processing of chemical vapor deposition (CVD) graphene and rGO sheets as large scale, low cost, high quality and simplicity for future industrial applications. Recently, a previous study has reported that single layer graphene grown via CVD was patterned and transferred to a target surface by controlling the surface energy of the polydimethylsiloxane (PDMS) stamp [1]. Using this approach, the surface of a relief-patterned elastomeric stamp was functionalized with hydrophilic dimethylsulfoxide (DMSO) molecules to enhance the surface energy of the stamp and to remove the graphene-based layer from the initial substrate and transfer it to a target surface [2]. Further, we developed a soft lithographic patterning process via surface energy modification for advanced graphene-based flexible devices such as transistors or simple and efficient chemical sensor consisting of reduced graphene oxide (rGO) and a metallic nanoparticle composite. A flexible graphene-based device on a biocompatible silk fibroin substrate, which is attachable to an arbitrary target surface, was also successfully fabricated.

  • PDF

Microcontact Printing of Bacteria Using Hybrid Agarose Gel Stamp (혼성 아가로즈젤 스탬프를 이용한 박테리아 마이크로 컨택트 프린팅)

  • Shim, Hyun-Woo;Lee, Ji-Hye;Lee, Chang-Soo
    • KSBB Journal
    • /
    • v.21 no.4
    • /
    • pp.273-278
    • /
    • 2006
  • The noble method of hybrid agarose gel microstamp fabricated by replica molding against PDMS master to make bacteria patterns on agar surface was presented. After the fabricated hybrid agarose gel microstamp was inked with E. coli, we could obtain 2 dimensional bacterial arrays with $50{\mu}m$ circular spots. And the various shaped patterns based on experimental design were easily generated. The analysis of mean fluorescent signal was showed that bacterial pattern have high contrast between spots and background and homogeneity of pattern. Our proposed method solved the problem of wetting and handling with small soft agarose gel microstamp when bacteria were used for ink. The agarose gel stamp provides appropriate environment to inked bacteria, which is essential technology for cell patterning with high retaining viability during the patterning process. This method is reproducible, convenient, rapid, and could be applied to screening system, study of cell-surface interaction, and microbial ecology.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Direct-Patternable SnO2 Thin Films Incorporated with Conducting Nanostructure Materials (직접패턴형 SnO2 박막의 전도성 나노구조체 첨가연구)

  • Kim, Hyun-Cheol;Park, Hyung-Ho
    • Korean Journal of Materials Research
    • /
    • v.20 no.10
    • /
    • pp.513-517
    • /
    • 2010
  • There have been many efforts to modify and improve the properties of functional thin films by hybridization with nano-sized materials. For the fabrication of electronic circuits, micro-patterning is a commonly used process. For photochemical metal-organic deposition, photoresist and dry etching are not necessary for microscale patterning. We obtained direct-patternable $SnO_2$ thin films using a photosensitive solution containing Ag nanoparticles and/or multi-wall carbon nanotubes (MWNTs). The optical transmittance of direct-patternable $SnO_2$ thin films decreased with introduction of nanomaterials due to optical absorption and optical scattering by Ag nanoparticles and MWNTs, respectively. The crystallinity of the $SnO_2$ thin films was not much affected by an incorporation of Ag nanoparticles and MWNTs. In the case of mixed incorporation with Ag nanoparticles and MWNTs, the sheet resistance of $SnO_2$ thin films decreased relative to incorporation of either single component. Valence band spectral analyses of the nano-hybridized $SnO_2$ thin films showed a relation between band structural change and electrical resistance. Direct-patterning of $SnO_2$ hybrid films with a line-width of 30 ${\mu}m$ was successfully performed without photoresist or dry etching. These results suggest that a micro-patterned system can be simply fabricated, and the electrical properties of $SnO_2$ films can be improved by incorporating Ag nanoparticles and MWNTs.

Etch resist patterning of printed circuit board by ink jet printing technology (잉크젯 인쇄기술을 이용한 인쇄회로기판의 에칭 레지스터 패터닝)

  • Seo, Shang-Hoon;Lee, Ro-Woon;Kim, Yong-Sik;Kim, Tae-Gu;Park, Sung-Jun;Yun, Kwan-Soo;Park, Jae-Chan;Jeong, Kyoung-Jin;Joung, Jae-Woo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.108-108
    • /
    • 2007
  • Inkjet printing is a non-contact and direct writing associated with a computer. In the industrial field, there have been many efforts to utilize the inkjet printing as a new way of manufacturing, especially for electronic devices. The etching resist used in this process is an organic polymer which becomes solidified when exposed to ultraviolet lights and has high viscosity of 300 cPs at ambient temperature. A piezoelectric-driven ink jet printhead is used to dispense $20-40\;{\mu}m$ diameter droplets onto the copper substrate to prevent subsequent etching. In this study, factors affecting the pattern formation such as printing resolution, jetting property, adhesion strength, etching and strip mechanism, UV pinning energy have been investigated. As a result, microscale Etch resist patterning of printed circuit board with tens of ${\mu}m$ high have been fabricated.

  • PDF

Gravure Halftone Dots by Laser Direct Patterning (레이저 직접 패터닝에 의한 그라비아 망점 형성)

  • Suh, Jeong;Han, You-Hie;Kang, Lae-Heuck
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.17 no.11
    • /
    • pp.191-198
    • /
    • 2000
  • Laser direct patterning of the coated photoresist (PMER-NSG31B) layer was studied to make halftone dots on gravure printing roll. The selective laser hardening of photoresist by Ar-ion laser(wavelength: 333.6~363.8nm) was controlled by the A/O modulator. The coating thickness in the range of 5~11$\mu m$ could be obtained by using the up-down directional moving device along the vertically located roll. The width, thickness and hardness of the hardened lines formed under the laser power of 200~260㎽ and irradiation time of 4.4~6.6 $\mu$sec/point were investigated after developing. The hardened width increased as the coating thickness increased. Though the hardened thickness was changed due to the effect of the developing solution, the hardened layer showed good resistance to the scratching of 2H pencil. Also, the hardened minimum line widths of 10$\mu m$ could be obtained. The change of line width was also found after etching, and the minimum line widths of 6$\mu m$ could be obtained. The hardened lines showed the good resistance to the etching solution. Finally, the experimental data could be applied to make gravure halftone dots using the developed imaging process, successfully.

  • PDF