• Title/Summary/Keyword: organic memory

Search Result 121, Processing Time 0.029 seconds

Clinical Utility of $^{99m}Tc-HMPAO$ Brain SPECT Findings in Chronic Head Injury (만성 두부외상 환자에서 $^{99m}Tc-HMPAO$ Brain SPECT의 임상적 유용성)

  • Chung, Jin-Ill;Chung, Tae-Sub;Suh, Jung-Ho;Kim, Dong-Ik;Lee, Jong-Doo;Park, Chang-Yoon;Kim, Young-Soo
    • The Korean Journal of Nuclear Medicine
    • /
    • v.26 no.1
    • /
    • pp.26-32
    • /
    • 1992
  • Minimal deterioration of cerebral perfusion or microanatomical changes were undetectable on conventional Brain CT or MRI. So evaluation of focal functional changes of the brain parenchyme is essential in chronic head injury patients, who did not show focal anatomical changes on these radiological studies. However, the patients who had longstanding neurologic sequelae following head injury, there had been no available imaging modalities for evaluating these patients precisely. Therefore we tried to detect the focal functional changes on the brain parenchyme using $^{99m}Tc-HMPAO$ Brain SPECT on the patients of chronic head injuries. Twenty three patients who had suffered from headache, memory dysfunction, personality change and insomnia lasting more than six months fellowing head injury were included in our cases, which showed no anatomical abnormalities on Brain CT or MRI. At first they underwent psychological test whether the symptoms were organic or not. Also we were able to evaluate the cerebral perfusion changes with $^{99m}Tc-HMPAO$ Brain SPECT in 22 patients among the 23, which five patients were focal and 17 patients were nonfocally diffuse perfusion changes. Thus we can predict the perfusion changes such as local vascular deterioration or functional defects using $^{99m}Tc-HMPAO$ Brain SPECT in the patients who had suffered from post-traumatic sequelae, which changes were undetectable on Brain CT or MRI.

  • PDF

Atomic Layer Deposition: Overview and Applications (원자층증착 기술: 개요 및 응용분야)

  • Shin, Seokyoon;Ham, Giyul;Jeon, Heeyoung;Park, Jingyu;Jang, Woochool;Jeon, Hyeongtag
    • Korean Journal of Materials Research
    • /
    • v.23 no.8
    • /
    • pp.405-422
    • /
    • 2013
  • Atomic layer deposition(ALD) is a promising deposition method and has been studied and used in many different areas, such as displays, semiconductors, batteries, and solar cells. This method, which is based on a self-limiting growth mechanism, facilitates precise control of film thickness at an atomic level and enables deposition on large and three dimensionally complex surfaces. For instance, ALD technology is very useful for 3D and high aspect ratio structures such as dynamic random access memory(DRAM) and other non-volatile memories(NVMs). In addition, a variety of materials can be deposited using ALD, oxides, nitrides, sulfides, metals, and so on. In conventional ALD, the source and reactant are pulsed into the reaction chamber alternately, one at a time, separated by purging or evacuation periods. Thermal ALD and metal organic ALD are also used, but these have their own advantages and disadvantages. Furthermore, plasma-enhanced ALD has come into the spotlight because it has more freedom in processing conditions; it uses highly reactive radicals and ions and for a wider range of material properties than the conventional thermal ALD, which uses $H_2O$ and $O_3$ as an oxygen reactant. However, the throughput is still a challenge for a current time divided ALD system. Therefore, a new concept of ALD, fast ALD or spatial ALD, which separate half-reactions spatially, has been extensively under development. In this paper, we reviewed these various kinds of ALD equipment, possible materials using ALD, and recent ALD research applications mainly focused on materials required in microelectronics.

A Sensing Method of PoRAM with Multilevel Cell (멀티레벨 셀을 가지는 PoRAM의 센싱 기법)

  • Lee, Jong-Hoon;Kim, Jung-Ha;Lee, Sang-Sun
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.47 no.12
    • /
    • pp.1-7
    • /
    • 2010
  • In this paper, we suggested a sensing method of PoRAM with the multilevel cell When a specific voltage is applied between top and bottom electrodes of PoRAM unit cell, we can distinguish cell states by changing resistance values of the cell. Especially, we can use the PoRAM as the multilevel cell due to have four stable resistance values per cell. Therefore, we proposed an address decoding method, sense amplifier and control signal for sensing of a multilevel cell. The sense amplifier is designed based on a current comparator that compared a cell current the cell with a reference current, and have a low input impedance for a amplification of the current. The proposed circuit was designed in a $0.13{\mu}m$ CMOS technology, we verified to sense each data "00", "01", "10", "10" by four states of a cell current.

Preparation of Co3O4/NF Anode for Lithium-ion Batteries

  • Tian, Shiyi;Li, Botao;Zhang, Bochao;Wang, Yang;Yang, Xu;Ye, Han;Xia, Zhijie;Zheng, Guoxu
    • Journal of Electrochemical Science and Technology
    • /
    • v.11 no.4
    • /
    • pp.384-391
    • /
    • 2020
  • Due to its characteristics of light weight, high energy density, good safety, long service life, no memory effect, and environmental friendliness, lithium-ion batteries (LIBs) are widely used in various portable electronic products. The capacity and performance of LIBs largely depend on the performance of electrode materials. Therefore, the development of better positive and negative materials is the focus of current research. The application of metal organic framework materials (MOFs) derivatives in energy storage has attracted much attention and research. Using MOFs as precursors, porous metal oxides and porous carbon materials with controllable structure can be obtained. In this paper, rod-shaped Co-MOF-74 was grown on Ni Foam (NF) by hydrothermal method, and then Co-MOF-74/NF precursor was heat-treated to obtain rodshaped Co3O4/NF. Ni Foam was skeleton structured, which effectively relieved. The change of internal stress changes and destroys the structural volume of the electrode material and reduces the capacity attenuation. Co3O4/NF composite material has a specific discharge capacity of up to 1858 mA h/g for the first time, and a reversible capacity of up to 902.4 mA h/g at a current density of 200 mA/g, and has excellent rate and impedance performance. The synthesis strategy reported in this article opens the way to design high-performance electrodes for energy storage and electrochemical catalysis.

Comparison of retention characteristics of ferroelectric capacitors with $Pb(Zr, Ti)O_3$ films deposited by various methods for high-density non-volatile memory.

  • Sangmin Shin;Mirko Hofmann;Lee, Yong-Kyun;Koo, June-Mo;Cho, Choong-Rae;Lee, June-Key;Park, Youngsoo;Lee, Kyu-Mann;Song, Yoon-Jong
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.3 no.3
    • /
    • pp.132-138
    • /
    • 2003
  • We investigated the polarization retention characteristics of ferroelectric capacitors with $Pb(Zr,Ti)O_3$ (PZT) thin films which were fabricated by different deposition methods. In thermally-accelerated retention tests, PZT films which were prepared by a chemical solution deposition (CSD) method showed rapid decay of retained polarization charges as the thickness of the films decreased down to 100 nm, while the films which were grown by metal organic chemical vapor deposition (MOCVD) retained relatively large non-volatile charges at the corresponding thickness. We concluded that in the CSD-grown films, the thicker interfacial passive layer compared with the MOCVD-grown films had an unfavorable effect on retention behavior. We observed the existence of such interfacial layers by extrapolation of the total capacitance with thickness of the films and the capacitance of these layers was larger in MOCVD-grown films than in CSD-grown films. Due to incomplete compensation of surface polarization charges by the free charges in the metal electrodes, the interfacial field activated the space charges inside the interfacial layers and deposited them at the boundary between the ferroelectric layer and the interfacial layer. Such space charges built up an internal field inside the films, which interfered with domain wall motion, so that retention property at last became degraded. We observed less imprint which was a result of less internal field in MOCVD-grown films while large imprint was observed in CSD-grown films.

Atomic layer deposition of In-Sb-Te Thin Films for PRAM Application

  • Lee, Eui-Bok;Ju, Byeong-Kwon;Kim, Yong-Tae
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.132-132
    • /
    • 2011
  • For the programming volume of PRAM, Ge2Sb2Te5(GST) thin films have been dominantly used and prepared by physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD). Among these methods, ALD is particularly considered as the most promising technique for the integration of PRAM because the ALD offers a superior conformality to PVD and CVD methods and a digital thickness control precisely to the atomic level since the film is deposited one atomic layer at a time. Meanwhile, although the IST has been already known as an optical data storage material, recently, it is known that the IST benefits multistate switching behavior, meaning that the IST-PRAM can be used for mutli-level coding, which is quite different and unique performance compared with the GST-PRAM. Therefore, it is necessary to investigate a possibility of the IST materials for the application of PRAM. So far there are many attempts to deposit the IST with MOCVD and PVD. However, it has not been reported that the IST can be deposited with the ALD method since the ALD reaction mechanism of metal organic precursors and the deposition parameters related with the ALD window are rarely known. Therefore, the main aim of this work is to demonstrate the ALD process for IST films with various precursors and the conformal filling of a nano size programming volume structure with the ALD?IST film for the integration. InSbTe (IST) thin films were deposited by ALD method with different precursors and deposition parameters and demonstrated conformal filling of the nano size programmable volume of cell structure for the integration of phase change random access memory (PRAM). The deposition rate and incubation time are 1.98 A/cycle and 25 cycle, respectively. The complete filling of nano size volume will be useful to fabricate the bottom contact type PRAM.

  • PDF

뉴로모픽 시스템용 시냅스 트랜지스터의 최근 연구 동향

  • Nam, Jae-Hyeon;Jang, Hye-Yeon;Kim, Tae-Hyeon;Jo, Byeong-Jin
    • Ceramist
    • /
    • v.21 no.2
    • /
    • pp.4-18
    • /
    • 2018
  • Lastly, neuromorphic computing chip has been extensively studied as the technology that directly mimics efficient calculation algorithm of human brain, enabling a next-generation intelligent hardware system with high speed and low power consumption. Three-terminal based synaptic transistor has relatively low integration density compared to the two-terminal type memristor, while its power consumption can be realized as being so low and its spike plasticity from synapse can be reliably implemented. Also, the strong electrical interaction between two or more synaptic spikes offers the advantage of more precise control of synaptic weights. In this review paper, the results of synaptic transistor mimicking synaptic behavior of the brain are classified according to the channel material, in order of silicon, organic semiconductor, oxide semiconductor, 1D CNT(carbon nanotube) and 2D van der Waals atomic layer present. At the same time, key technologies related to dielectrics and electrolytes introduced to express hysteresis and plasticity are discussed. In addition, we compared the essential electrical characteristics (EPSC, IPSC, PPF, STM, LTM, and STDP) required to implement synaptic transistors in common and the power consumption required for unit synapse operation. Generally, synaptic devices should be integrated with other peripheral circuits such as neurons. Demonstration of this neuromorphic system level needs the linearity of synapse resistance change, the symmetry between potentiation and depression, and multi-level resistance states. Finally, in order to be used as a practical neuromorphic applications, the long-term stability and reliability of the synapse device have to be essentially secured through the retention and the endurance cycling test related to the long-term memory characteristics.

Distributed Intrusion Detection System for Safe E-Business Model (안전한 E-Business 모델을 위한 분산 침입 탐지 시스템)

  • 이기준;정채영
    • Journal of Internet Computing and Services
    • /
    • v.2 no.4
    • /
    • pp.41-53
    • /
    • 2001
  • Multi-distributed web cluster model built for high availability E-Business model exposes internal system nodes on its structural characteristics and has a potential that normal job performance is impossible due to the intentional prevention and attack by an illegal third party. Therefore, the security system which protects the structured system nodes and can correspond to the outflow of information from illegal users and unfair service requirements effectively is needed. Therefore the suggested distributed invasion detection system is the technology which detects the illegal requirement or resource access of system node distributed on open network through organic control between SC-Agents based on the shared memory of SC-Server. Distributed invasion detection system performs the examination of job requirement packet using Detection Agent primarily for detecting illegal invasion, observes the job process through monitoring agent when job is progressed and then judges the invasion through close cooperative works with other system nodes when there is access or demand of resource not permitted.

  • PDF

LS-MOCVD OF BARIUM STRONTIUM TITANATE THIN FILMS USING NOVEL PRECURSORS

  • Kwon, Hyun-Goo;Oh, Young-Woo;Park, Jung-Woo;Lee, Young-Kuk;Kim, Chang-Gyoun;Kim, Do-Jin;Kim, Yunsoo
    • Proceedings of the Korea Crystallographic Association Conference
    • /
    • 2002.11a
    • /
    • pp.19-19
    • /
    • 2002
  • Perovskite-type titanate dielectrics have attracted much attention in memory devices such as DRAMs or FeRAMs due to their high dielectric constants. However, low volatility of the Ba, Sr, Pb or Zr precursors with only thd ligands has limitations in obtaining high quality thin films by liquid source metal organic chemical vapor deposition (LS-MOCVD) processes. To improve the volatility of these precursors, many attempts have been made such as adding polyether ligands to satisfy the coordinative saturation. We report the synthesis of new precursors Ba(thd)₂(tmeea) and Sr(thd)₂(tmeea), where tmeea = tris[2-(2-methoxyethoxy)ethyl]amino, and LS-MOCVD of barium strontium titanate (BSTO) thin films using these precursors. Due to increased basicity of amines compared with ethers, it is expected that the nitrogen-donor ligand will make a strong bond to a metal than an analogous oxygen-donor ligand, consequently improving the volatility and thermal behavior of these precursors. Thin films of BSTO were grown on Pt(111)/SiO₂/Si(100) substrates by LS-MOCVD using a cocktail source consisting of the conventional Ti precursor Ti(thd)₂(O/sup i/Pr), and these new Ba and Sr precursors. As-grown films were characterized by XPS, SEM, XRD, XRF, and C-V and I-V measurements. BSTO films grown at 420℃ were stoichiometric barium strontium titanate with very smooth surface morphology and their dielectric constants were found to be as targe as 450. Dependence of the composition, microstructure and the electrical properties of the BSTO films on the growth temperature, annealing temperature, working pressure, and the composition of the cocktail source will be discussed.

  • PDF

The Crystallinity and Electrical Properties of SrBi2Ta2O9 Thin Films Fabricated by New Low Temperature Annealing (새로운 저온 열처리 공정으로 제조된 SrBi2Ta2O9 박막의 결정성 및 전기적 특성)

  • Lee, Kwan;Choi, Hoon-Sang;Jang, Yu-Min;Choi, In-Hoon
    • Korean Journal of Materials Research
    • /
    • v.12 no.5
    • /
    • pp.382-386
    • /
    • 2002
  • We studied growth and characterization of $SrBi_2Ta_2O_9$ (SBT) thin films fabricated by low temperature process under vacuum and/or oxygen ambient. A metal organic decomposition (MOD) method based on a spin-on technique and annealing process using a rapid thermal annealing (RTA) method was used to prepare the SBT films. The crystallinity of a ferroelectric phase of SBT thin films is related to the oxygen partial pressure during RTA process. Under an oxygen partial pressure higher than 30 Torr, the crystallization temperature inducing the ferroelectric SBT phase can be lowered to $650^{\circ}C$. Those films annealed at $650^{\circ}C$ in vacuum and oxygen ambient showed good ferroelectric properties, that is, the memory window of 0.5~0.9 V at applied voltage of 3~7 V and the leakage current density of 1.80{\times}10^{-8}$ A/$\textrm{cm}^2$ at an applied voltage of 5V. In comparison with the SBT thin films prepared at 80$0^{\circ}C$ in $O_2$ ambient by furnace annealing process, the SBT thin films prepared at $650^{\circ}C$ in vacuum and oxygen ambient using the RTA process showed a good crystallization and electrical properties which would be able to apply to the virtul device fabrication precess.