• 제목/요약/키워드: laser-annealing

검색결과 331건 처리시간 0.024초

6H-SiC MOSFET과 디지털 IC 제작 (Fabrication of 6H-SiC MOSFET and Digital IC)

  • 김영석;오충완;최재승;송지헌;이장희;이형규;박근형
    • 한국전기전자재료학회논문지
    • /
    • 제16권7호
    • /
    • pp.584-592
    • /
    • 2003
  • 6H-SiC MOSFETs and digital ICs have been fabricated and characterized. PMOS devices are fabricated on an n-type epilayer while the NMOS devices are fabricated on implanted p-wells. NMOS and PMOS devices use a thermally grown gate oxide. SiC MOSFETs are fabricated using different impurity activation methods such as high temperature and newly proposed laser annealing methods. Several digital circuits, such as resistive road NMOS inverters, CMOS inverters, resistive road NMOS NANDs and NORs are fabricated and characterized.

플레티늄-실리사이드를 이용한 쇼트키 장벽 다결정 박막 트랜지스터 (Schottky Barrier Thin Film Transistor by using Platinum-silicided Source and Drain)

  • 신진욱;정홍배;이영희;조원주
    • 한국전기전자재료학회논문지
    • /
    • 제22권6호
    • /
    • pp.462-465
    • /
    • 2009
  • Schottky barrier thin film transistors (SB-TFT) on polycrystalline silicon(poly-Si) are fabricated by platinum silicided source/drain for p-type SB-TFT. High quality poly-Si film were obtained by crystallizing the amorphous Si film with excimer laser annealing (ELA) or solid phase crystallization (SPC) method, The fabricated poly-Si SB-TFTs showed low leakage current level and a large on/off current ratio larger than 10), Significant improvement of electrical characteristics were obtained by the additional forming gas annealing in 2% $H_2/N_2$ ambient, which is attributed to the termination of dangling bond at the poly-Si grain boundaries as well as the reduction of interface trap states at gate oxide/poly-Si channel.

레이저 절단공정에서의 토지경로 생성에 관한 연구 (A Study on Torch Path Generation for Laser Cutting Process)

  • 한국찬;나석주
    • 대한기계학회논문집A
    • /
    • 제20권6호
    • /
    • pp.1827-1835
    • /
    • 1996
  • This paper addresses the problem of a torch path generation for the 2D laser cutting of a stock plate nested with resular or irregular parts. Under the constaint of the relative positions of parts enforced by nesting, the developed torch path algorithm generate feasible cutting path. In this paper, the basic object is a polygon( a many-slide figure) with holes. A part may be represented as a number of line segments connected end-to-end in counterclockwise order, and formed a closed contour as requied for cutting paths. The objective is to tranverse this cutting contours with a minimum path length. This paper proposes a simulated annealing based dtorch path algorithm, that is an improved version of previously suggested TSP models. Since everypiercing point of parts is not fixed in advance, the algorithm solves as relazed optimization problem for the constraint, thich is one of the main features of the proposed algorithm. For aolving the torch path optimization problem, an efficient generation mechanism of neighborhood structure and as annealing shedule were introduced. In this way, a global solution can be obtained in a reasonable time. Seveeral examples are represented to ilustrate the method.

자연 산화막과 엑시머 레이저를 이용한 Poly-Si/a-Si 이중 박막 다결정 실리콘 박막 트랜지스터 (Poly-Si Thin Film Transistor with poly-Si/a-Si Double Active Layer Fabricated by Employing Native Oxide and Excimer Laser Annealing)

  • 박기찬;박진우;정상훈;한민구
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제49권1호
    • /
    • pp.24-29
    • /
    • 2000
  • We propose a simple method to control the crystallization depth of amorphous silicon (a-Si) deposited by PECVD or LPCVD during the excimer laser annealing (ELA). Employing the new method, we have formed poly-Si/a-Si double film and fabricated a new poly-Si TFT with vertical a-Si offsets between the poly-Si channel and the source/drain of TFT without any additional photo-lithography process. The maximum leakage current of the new poly-Si TFT decreased about 80% due to the highly resistive vertical a-Si offsets which reduce the peak electric field in drain depletion region and suppress electron-hole pair generation. In ON state, current flows spreading down through broad a-Si cross-section in the vertical a-Si offsets and the current density in the drain depletion region where large electric field is applied is reduced. The stability of poly-Si TFT has been improved noticeably by suppressing trap state generation in drain region which is caused by high current density and large electric field. For example, ON current of the new TFT decreased only 7% at a stress condition where ON current of conventional TFT decreased 89%.

  • PDF

PLD를 이용한 ZnO 박막의 구조에 산소 분압 및 후열처리 온도가 미치는 영향 (Effects of Oxygen Partial Pressure and Post-Annealing Temperature on Structure of ZnO Thin Film Prepared by Pulsed Laser Deposition)

  • 조대형;김지홍;구상모;문병무
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2007년도 Techno-Fair 및 추계학술대회 논문집 전기물성,응용부문
    • /
    • pp.88-89
    • /
    • 2007
  • ZnO thin films were deposited on $Al_2O_3$ (alumina) substrates by pulsed laser deposition (PLD) using Nd:YAG laser with a wavelength of 355nm, at room temperature and oxygen partial pressure of 1, 10, 30, 50, 100, and 200m Torr. Furthermore, deposited ZnO thin films were post-annealed at 400, 550, $600^{\circ}C$. The effects of oxygen partial pressure and post-annealing temperature on structural properties of the deposited films have been investigated by means of X-ray diffraction (XRD), and atomic force microscope (AFM), respectively. It has been found that ZnO thin films exhibit c-axis orientation, exhibiting an increased foil width at half maximum (FWHM) value of (002) diffraction peak at 30m Torr oxygen partial pressure and higher post-annealing temperature ($700^{\circ}C$).

  • PDF

AlGaAs/GaAs 레이저 다이오우드의 열처리에 의한 개선에 관한 연구 (Improvement of AlGaAs/GaAs Quantum Well Laser Diodes by Thermal Annealing)

  • Jung, Hyon-Pil;Kenzhou Xie;Wie, Chu-Ryang;Lee, Yun-Hyun
    • 한국통신학회논문지
    • /
    • 제18권3호
    • /
    • pp.449-455
    • /
    • 1993
  • 단거리 통신 시스팀의 광원으로 유용한 단파장 AlGaAs/GaAs레이저 다이오우드의 열악한 특성을 개선하기 위하여 MBE에 의해 낮은 온도에서 성장한 AlGaAs/GaAs GRINSCH-QW 레이저 다이오우드를 RTA온도의 변화에 좌우되는 포토루미네센스에 의하여 연구하였다. $950^{\circ}C$에서 10초동안 RTA처리를 한후 양자우물 포토루미네센스의 세기는 대체로 10배정도 증가하는것을 보여주었다. 이것은 양자우물 영역에서 발광되지 않는 재결합이 감소된것과 관련된다. 열처리된 레이저 다이오우드의 임계전류는 4배로 감소되었으며 RTA에 의하여 레이저 다이오우드의 질이 개선되었음이 확인되었다.

  • PDF

$SiO_{x}F_{y}$/a-Si 구조에 엑시머 레이저 조사에 의해 불소화된 다결정 실리콘 박막 트랜지스터의 전기적 특성과 신뢰도 향상 (Passivation Effects of Excimer-Laser-Induced Fluorine using $SiO_{x}F_{y}$ Pad Layer on Electrical Characteristics and Stability of Poly-Si TFTs)

  • 김천홍;전재홍;유준석;한민구
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제48권9호
    • /
    • pp.623-627
    • /
    • 1999
  • We report a new in-situ fluorine passivation method without in implantation by employing excimer laser annealing of $SiO_{x}F_{y}$/a-Si structure and its effects on p-channel poly-Si TFTs. The proposed method doesn't require any additional annealing step and is a low temperature process because fluorine passivation is simultaneous with excimer-laser-induced crystallization. A in-situ fluorine passivation by the proposed method was verified form XPS analysis and conductivity measurement. From experimental results, it has been shown that the proposed method is effective to improve the electrical characteristics, specially field-effect mobility, and the electrical stability of p-channel poly-Si TFTs. The improvement id due to fluorine passivation, which reduces the trap state density and forms the strong Si-F bonds in poly-Si channel and $SiO_2/poly-Si$ interface. From these results, the high performance poly-Si TFTs canbe obtained by employing the excimer-laser-induced fluorine passivation method.

  • PDF

선택적 레이저 공정을 이용한 구리 나노 입자의 소결 특징 분석 및 플렉서블 전자 소자 제작 기술 개발에 관한 연구 (Study of Thermal Behaviors on sub-50 nm Copper Nanoparticles by Selective Laser Sintering Process for Flexible Applications)

  • 권진형;조현민;이하범;엄현진;고승환
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2016년도 추계학술대회 논문집
    • /
    • pp.134-134
    • /
    • 2016
  • The effect of different thermal treatments on the sub-50 nm copper nanoparticles is examined in the aspects of chemical, electrical and surface morphology. The copper nanoparticles are chemically synthesized and fabricated for paste-type solution. Simple bar coating method is practiced as a deposition process to form copper thin film on a typical slide glass. Deposited copper thin films are annealed by two different routes: general tube furnace with 99.99 % Ar atmosphere and selective laser sintering process. The thermal behavior of the different thermal-treated copper thin films is compared by SEM, XRD, FT-IR and XPS analysis. In this study, the laser sintering process ensures low annealing temperature, fast working speed and ambient-accessible route. Moreover, the laser-sintered copper thin film shows good electrical property and enhanced chemical stability than conventional thermal annealing process. Consequently, the proposed laser sintering process can be compatible with plastic substrate for flexible applications.

  • PDF

Impact of CO2 Laser Pretreatment on the Thermal Endurance of Bragg Gratings

  • Gunawardena, Dinusha Serandi;Lai, Man-Hong;Lim, Kok-Sing;Ahmad, Harith
    • Journal of the Optical Society of Korea
    • /
    • 제20권5호
    • /
    • pp.575-578
    • /
    • 2016
  • The thermal endurance of fiber Bragg gratings (FBGs), written with the aid of 193-nm ArF excimer laser irradiation on H2-loaded Ge/B codoped silica fiber, and pretreated with a CO2 laser and a subsequent slow cooling process, is investigated. These treated gratings show relatively less degradation of grating strength during the thermal annealing procedure. The thermal decay characteristics of treated and untreated fiber, recorded over a time period of 9 hours, have been compared. The effect on the Bragg transmission depth (BTD) and the center-wavelength shift, as well as the growth of refractive-index change during the grating inscription process for both treated and untreated fiber, are analyzed.

엑시머 레이져를 이용한 극히 얕은 접합과 소스, 드레인의 형성과 50nm 이하의 극미세 n-MOSFET의 제작 (Ultra Shallow Junction wish Source/Drain Fabricated by Excimer Laser Annealing and realized sub-50nm n-MOSFET)

  • 정은식;배지철;이용재
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 하계학술대회 논문집
    • /
    • pp.562-565
    • /
    • 2001
  • In this paper, novel device structures in order to realize ultra fast and ultra small silicon devices are investigated using ultra-high vacuum chemical vapor deposition(UHVCVD) and Excimer Laser Annealing (ELA). Based on these fundamental technologies for the deep sub-micron device, high speed and low power devices can be fabricated. These junction formation technologies based on damage-free process for replacing of low energy ion implantation involve solid phase diffusion and vapor phase diffusion. As a result, ultra shallow junction depths by ELA are analyzed to 10~20nm for arsenic dosage(2${\times}$10$\_$14//$\textrm{cm}^2$), exciter laser source(λ=248nm) is KrF, and sheet resistances are measured to 1k$\Omega$/$\square$ at junction depth of 15nm and realized sub-50nm n-MOSFET.

  • PDF