• 제목/요약/키워드: ion beam lithography

검색결과 65건 처리시간 0.032초

Fabrication Technology of the Focusing Grating Coupler using Single-step Electron Beam Lithography

  • Kim, Tae-Youb;Kim, Yark-Yeon;Han, Gee-Pyeong;Paek, Mun-Cheol;Kim, Hae-Sung;Lim, Byeong-Ok;Kim, Sung-Chan;Shin, Dong-Hoon;Rhee, Jin-Koo
    • Transactions on Electrical and Electronic Materials
    • /
    • 제3권1호
    • /
    • pp.30-37
    • /
    • 2002
  • A focusing grating coupler (FGC) was not fabricated by the 'Continuous Path Control'writing strategy but by an electron-beam lithography system of more general exposure mode, which matches not only the address grid with the grating period but also an integer multiple of the address grid resolution (5 nm). To more simplify the fabrication, we are able to reduce a process step without large decrease of pattern quality by excluding a conducting material or layer such as metal (Al, Cr, Au), which are deposited on top or bottom of an e-beam resist to prevent charge build-up during e-beam exposure. A grating pitch period and an aperture feature size of the FGC designed and fabricated by e-beam lithography and reactive ion etching were ranged over 384.3 nm to 448.2 nm, and 0.5 $\times$ 0.5 mm$^2$area, respectively. This fabrication method presented will reduce processing time and improve the grating quality by means of a consideration of the address grid resolution, grating direction, pitch size and shapes when exposing. Here our investigations concentrate on the design and efficient fabrication results of the FGC for coupling from slab waveguide to a spot in free space.

자성박막 소자 에칭용 전자 사이클로트론 공명 이온밀링 시스템 제작과 특성연구 (Fabrication and Performance of Electron Cyclotron Resonance Ion Milling System for Etching of Magnetic Film Device)

  • 이원형;황도근;이상석;이장로
    • 한국자기학회지
    • /
    • 제25권5호
    • /
    • pp.149-155
    • /
    • 2015
  • 자성박막의 미세패턴 소자 제작을 위해 전자 사이크로트론 공명(electron cyclotron resonance; ECR) Ar 이온밀링 시스템을 제작하였다. 소자 식각에 적용한 ECR 이온밀링 시스템에서 주파수 2.45 GHz 파장 12.24 cm의 마이크로파 소스인 마그네트론은 전력 600 W에 의해 가동되어 파장의 정수배에 맞추어 만든 도파관을 통하여 전달되도록 설계하였다. 마이크로파 주파수와 공명시키기 위해 전자석으로 908 G의 자기장을 인가하였고, 알곤 개스를 cavity에 유입시켜서 방전된 이온들은 그리드 사이에 인가한 약 1000 V의 가속전압에 의한 에너지를 갖고 표면을 밀링한다. 이것을 이용하여 다층구조 GMR-SV(giant magnetoresistance-spin valve) 자성박막에 광 리소그래피, 이온밀링 및 전극제작 공정과정을 마치고 폭이 $1{\mu}m$에서 $9{\mu}m$까지의 소자들을 제작하여 광학현미경으로 소자 크기를 관찰하였다.

반도체 공정용 리소그래피 기술의 최근 동향 (Recent Trends of Lithographic Technology)

  • 정태진;유종준
    • 전자통신동향분석
    • /
    • 제13권5호통권53호
    • /
    • pp.38-52
    • /
    • 1998
  • Phase-shifting masks (PSM), optical proximity correction (OPC), off-axis illumination (OAI), annular illumination (AI)의 리소그래피 분해능 향상 기법과 deep ultraviolet photoresist의 개발 및 리소그래피의 최근 기술 동향을 요약 소개한다. DUV 리소그래피의 대안으로 관심을 끌고 있는 scattering with angular limitation projection electron-beam lithography (SCALPEL), extreme ultraviolet lithography (EUVL), X-ray lithography (XRL), ion projection lithography (IPL) 등의 새로운 리소그래피 기술들의 기본 원리와 최근 기술 동향도 소개하였다. 리소그래피는 반도체 공정에 있어서 가장 중요한 부분을 차지하기 때문에 리소그래피의 최근 기술 동향을 검토해 봄으로써 국내 리소그래피 장비 산업의 기술 개발을 위한 방향 설정에 도움이 될 것으로 생각한다.

X-밴드 저잡음 증폭기용 $0.25 \mu\textrm{m}$ T-형 게이트 P-HEMT 제작 (Fabrication of $0.25 \mu\textrm{m}$ P-HEMT for X-band Low Noise Amplifier)

  • 이강승;정윤하
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2000년도 추계종합학술대회 논문집(2)
    • /
    • pp.17-20
    • /
    • 2000
  • We have enhanced the yield of 0.25 ${\mu}{\textrm}{m}$ T-gate $Al_{0.25}$G $a_{0.75}$As/I $n_{0.2}$G $a_{0.8}$As P-HEMT using three-layer E-beam lithography process and selective etching process. The three-layer resist structure (PMMA/copolymer/ PMMA=2000 $\AA$/3000 $\AA$/2000 $\AA$) and three developers (Benzene:IPA=1:1,Methanol:IPA =1:1,MIBK:IPA=1:3) were used for fabrication of a wide-head T-gate by the conventional double E-beam exposure technology. Also 1 wt% citric acid: $H_2O$$_2$:N $H_{4}$OH(200m1:4ml:2.2ml) solution were used for uniform gate recess. The etching selectivity of GaAs over $Al_{0.25}$G $a_{0.75}$As is measured to be 80. So these P-HEMT processes can be used in X-band MMIC LNA fabrication.ion.ion.ion.

  • PDF

나노판의 선택적 식각에 의한 이동이 가능한 나노구조체 제작 (Fabrication of Movable Nanostructures by Selective Etching of Nanoplates)

  • 윤용주;아칠성;윤완수;하동한
    • 대한기계학회논문집A
    • /
    • 제30권3호
    • /
    • pp.328-333
    • /
    • 2006
  • Movable nanometer-scale structures are fabricated by selective etching of single crystalline Au nanoplates. The nanostructures have arbitrary shapes like gear and alphabet 'A' with in-plane size less than 500 m and thickness of $25\sim60nm$. They could be moved successfully on the substrate using a nanornanipulator installed in a focused ion beam system. Our approach is expected to be useful in fabricating various kinds of nanocomponents which can play a role as building blocks for the sophisticated nanodevices or micromachines.

Strain-free AlGaN/GaN 자외선 센서용 나노선 소자 연구 (Strain-free AlGaN/GaN Nanowires for UV Sensor Applications)

  • 안재희;김지현
    • Korean Chemical Engineering Research
    • /
    • 제50권1호
    • /
    • pp.72-75
    • /
    • 2012
  • Strain-free AlGaN/GaN 나노선을 기판에 분산시킨 후 E-beam lithography(EBL)를 이용해 단일 나노선 자외선 센서를 제작하였다. 나노선의 구조적, 광학적 특성을 분석하기 위해 focused ion beam(FIB), photoluminescence, micro-Raman spectroscopy를 이용하여 나노선의 strain 및 형태를 조사하였다. 자외선 센서로서의 특성 여부를 확인하기 위하여 빛을 차단 한 조건과 자외선을 조사하는 조건하에서 current-voltage(I-V) 특성을 측정하였으며 각각 9.0 ${\mu}S$과 9.5 ${\mu}S$의 전기전도도(conductance)를 얻었다. 자외선 조사 조건하에서 excess carrier의 증가로 인해 전기전도도가 약 5%가 향상되었음을 알 수 있었다. 자외선을 반복적으로 조사하는 과정의 실험을 통해 우수한 포화 시간(saturation time)과 감쇠 시간(decay time)을 얻었다. 따라서 AlGaN/GaN 나노선은 자외선 센서로서 많은 가능성을 가지고 있음을 확인하였다.

플라즈마중합 (MMA-Sty-TMT) 박막의 레지스트 특성조사 (A study on the resist characteristics of plasma polymerized thin film of (MMA-Sty-TMT))

  • 박종관;박상현;박복기;정해덕;한상옥;이덕출
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1994년도 하계학술대회 논문집 C
    • /
    • pp.1268-1270
    • /
    • 1994
  • Fine lithographic technology in a submicron design regime is necessary for the fabrication of VLSI circuits. In such lithography, fine pattern delineation is performed by electron beam, ion beam and X-ray lithography instead of photolithography. Therefore, the new resist materials and development method have been required. So, we are investigating another positive E-beam resists which have high sensitivity and dry etching resistance, Plasma co-polymerized resist was prepared using an interelectrode gas-flow-type reacter. Methymethacrylate, tetramethyltin and styrene were chosen as the monomer to be used. The delineated pattern in the resist was developed with gas-flow-type reactor using an argon and 02 as etching gas. We studied about the effects of discharge power and mixing rate of the co-polymerized thin :film. The molecular structure of thin film was investigated by ESCA and IR, and then was discussed in relation to its quality as a resist.

  • PDF

FIB 밀링을 이용한 나노스텐실 제작 및 나노패터닝 (Fabrication of nanostencil using FIB milling for nanopatterning)

  • 정성일;오현석;김규만
    • 한국정밀공학회지
    • /
    • 제23권3호
    • /
    • pp.56-60
    • /
    • 2006
  • A high-resolution shadow mask, or called a nanostencil was fabricated for high resolution lithography. This high-resolution shadowmask was fabricated by a combination or MEMS processes and focused ion beam (FIB) milling. 500 nm thick and $2{\times}2mm$ large membranes wore made on a silicon wafer by micro-fabrication processes of LPCVD, photolithography, ICP etching and bulk silicon etching. A subsequent FIB milling enabled local membrane thinning and aperture making into the thinned silicon nitride membrane. Due to the high resolution of the FIB milling process, nanoscale apertures down to 70 nm could be made into the membrane. By local deposition through the apertures of nanostencil, nanoscale patterns down to 70 nm could be achieved.

접속이온빔 리소그라피를 이용한 고굴절 비정질 박막 투과 격자 형성 (Transmission Grating Formation in High Refractive-index Amorphous Thin Films Using Focused-Ion-Beam Lithography)

  • 신경;김진우;박정일;이현용;이영종;정홍배
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제50권1호
    • /
    • pp.6-10
    • /
    • 2001
  • In this study, we investigated the optical properties of sub-wavelength a-Si thin film transmission gratings, especially the polarization effect, the phase difference and the birefringence by using linearly polarized He-Ne laser beam (632.8nm). The a-Si transmission grating of the thickness $of < 0.1 \mum$ with four-type period($\Lambda = 0.4 \mum and 0.6 \mum$ for sub-wavelength and $\Lambda = 1.0 \mum and 1.4 \mum$ for above-wavelength) on quartz substrates have been fabricated using 50 KeV Ga+ Focused-Ion-Beam(FIB) Milling and $CF_4$Reactive-Ion-Etching(RIE) method. Finally, we obtained the trating array of a-Si thin film with a period $0.4 \mum, 0.6 \mum, 1.0 \mum, 1.4 \mum$ which have nearly equal finger spacing and width, sucessfully. Especially, for gratings with $\Lambda = 0.6 \mum(linewidth=0.25 \mum, linespace=0.35\mum), the \etamax at \theta_в=17.0^{\circ}$ is estimated to be 96%. As the results, we believe that the sub-wavelength grating arrayed a-Si thin film has the applicability as the optical device and components.

  • PDF