• Title/Summary/Keyword: high etch rate

Search Result 229, Processing Time 0.029 seconds

Nano-gap Trench Etching using Forward Biased PN Junction for High Performance MEMS Devices (고성능 MEMS 소자를 위한 순방향 전극이 걸린 PN 접합을 이용한 나노 간격 홈의 식각)

  • Jeong, Jin-Woo;Kim, Hyeon-Cheol;Chun, Kuk-Jin
    • Proceedings of the IEEK Conference
    • /
    • 2005.11a
    • /
    • pp.833-836
    • /
    • 2005
  • Nano-gap trench is fabricated by the novel electrochemical etching technique using forward biased PN junction formed at the backside of the wafer. PN junction is formed using boron nitride wafer and the concentration of the boron doping is the high value of $1{\times}10^{19}$ $cm^{-3}$. The electro-chemical etching is performed in the 5% HF solution under the forward bias voltage of $1{\sim}2V$. The relationship between the etch rate of the trench and the voltage of the forward bias is investigated and the dependence of the gap for the voltage also examined. The etch rate increase from 0.027 ${\mu}m/min$ to 0.031 ${\mu}m/min$ as the value of the applied voltage increase from 1V to 2V, but the the gap is kept constant value of 40 nm.

  • PDF

The Patterning of Polyimide Thin Films for the Additive $CF_4$ gas ($CF_4$ 첨가에 따른 polyimide 박막의 패터닝 연구)

  • Kang, Pil-Seung;Kim, Chang-Il;Kim, Sang-Gi
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11b
    • /
    • pp.209-212
    • /
    • 2001
  • Polyimide(PI) films have been considered as the interlayer dielectric materials due to low dielectric constant, low water absorption, high gap-fill and planarization capability. The PI mm Was etched with using inductively coupled plasma (ICP). The etching characteristics such as etch rate and selectivity were evaluated to gas mixing ratio. High etch rate was $8300{\AA}/min$ and vertical profile was approximately acquired $90^{\circ}$ at $CF_{4}/(CF_{4}+O_{2})$ of 0.2. The selectivies of polyimide to PR and $SiO_{2}$ were 1.2, 5.9, respectively. The etching profiles of PI films with an aluminum pattern were measured by a scanning electron microscope (SEM). The chemical states on the PI film surface were investigated by x-ray photoelectron spectroscopy (XPS). Radical densities of oxygen and fluorine in different gas mixing ratio of $O_{2}/CF_{4}$ were investigated by optical emission spectrometer (OES).

  • PDF

Etching of Silicon Wafer Using Focused Argon lon Laser Beam (집속 아르곤 이온 레이저 빔을 이용한 실리콘 기판의 식각)

  • Cheong, Jae-Hoon;Lee, Cheon;Park, Jung-Ho
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.48 no.4
    • /
    • pp.261-268
    • /
    • 1999
  • Laser-induced thermochemical etching has been recognized as a new powerful method for processing a variety of materials, including metals, semiconductors, ceramics, insulators and polymers. This study presents characteristics of direct etching for Si substrate using focused argon ion laser beam in aqueous KOH and $CCl_2F_2$ gas. In order to determine process conditions, we first theoretically investigated the temperature characteristics induced by a CW laser beam with a gaussian intensity distribution on a silicon surface. Major process parameters are laser beam power, beam scan speed and reaction material. We have achieved a very high etch rate up to $434.7\mum/sec$ and a high aspect ratio of about 6. Potential applications of this laser beam etching include prototyping of micro-structures of MEMS(micro electro mechanical systems), repair of devices, and isolation of opto-electric devices.

  • PDF

Investiagtions on the Etching of Platinum Film using High Density Inductively Coupled Ar/Cl$_2$ HBr Plasmas

  • Kim, Nam-Hoon;Chang-Il kim;Chang, Eui-Goo;Kwon, Kwang-Ho
    • Transactions on Electrical and Electronic Materials
    • /
    • v.1 no.3
    • /
    • pp.14-17
    • /
    • 2000
  • Giga bit dynamic random access memory(DRAM) requires the capacitor of high dielectric films. Some metal oxides films have been proposed as the dielectric material . And Pt is one of the most promising electrode materials. However very little has been done in developing the etching technologoy Pt film. Therefore, it is the first priority to develop the technology for plasma etching of Pt film. In this study, the dry etching of Pt film was investigated in Inductively Coupled Plasma(ICP) etching system with Cl$_2$/Ar and HBr/Cl$_2$/Ar gas mixing. X-ray photoelectron spectroscopy (XPS) was used in analysis of sidewall residues for the understanding of etching mechanism. We found the etch residues on the pattern sidewall is mainly Pt-Pt, Pt-Cl and Pt-Br compounds, Etch profile was observed by Scanning Electron Spectroscopy(SEM) . The etch rate of Pt film at 10%, Cl$_2$/90% Ar gas mixing ration was higher than at 100%. Ar. Addition of HBr to Cl$_2$/Ar as an etching gas led to generally higher selectivity to SiO$_2$. And the etch residues were reduced at 5% HBr/5% Cl$_2$/90% Ar gas mixing ration. These pages provide you with an examples of the layout and style which we wish you to adopt during the preparation of your paper, Make the width of abstract to be 14cm.

  • PDF

Characteristics of High Temperature Oxide Thin Film Using Dichlorosilane Gas (Dichlorosilane Gas를 이용한 High Temperature Oxide Thin Film의 특성)

  • 이승석;이석희;김종철;박헌섭;오계환
    • Journal of the Korean Vacuum Society
    • /
    • v.1 no.1
    • /
    • pp.190-197
    • /
    • 1992
  • In this study we have investigated physical and electrical properties of high temperature oxide (HTO) thin film using dichlorosilane (DCS) gas. This film had low etch rate and excellent step coverage, and its characteristics of Si-O bond were similar to those of thermal oxide. I-V curves also showed similar electrical properties to those of thermally grown oxide (SiO2) while time dependent dielectric breakdown (TDDB) results revealed 1/4 value of thermal oxide. However, defect density was measured to be much lower value than that of thermal oxide.

  • PDF

Characterization of saturation of CR-39 detector at high alpha-particle fluence

  • Ghazaly, M. El;Hassan, Nabil M.
    • Nuclear Engineering and Technology
    • /
    • v.50 no.3
    • /
    • pp.432-438
    • /
    • 2018
  • The occurrence of saturation in the CR-39 detector reduces and limits its detection dynamic range; nevertheless, this range could be extended using spectroscopic techniques and by measuring the net bulk rate of the saturated CR-39 detector surface. CR-39 detectors were irradiated by 1.5 MeV high alpha-particle fluence varying from $0.06{\times}10^8$ to $7.36{\times}10^8\;alphas/cm^2$ from Am-241 source; thereafter, they were etched in a 6.25N NaOH solution at a temperature of $70^{\circ}C$ for different durations. Net bulk etch rate measurement of the 1.5 MeV alpha-irradiated CR-39 detector surface revealed that rate increases with increasing etching time and reaches its maximum value at the end of the alpha-particle range. It is also correlated with the alpha-particle fluence. The measurements of UV-Visible (UV-Vis) absorbance at 500 and 600 nm reveal that the absorbance is linearly correlated with the fluence of alpha particles at the etching times of 2 and 4 hour. For extended etching times of 6, 10, and 14.5 hour, the absorbance is saturated for fluence values of $4.05{\times}10^8$, $5.30{\times}10^8$, and $7.36{\times}10^8\;alphas/cm^2$. These new methods pave the way to extend the dynamic range of polymer-based solid state nuclear track detectors (SSNTDs) in measurement of high fluence of heavy ions as well as in radiation dosimetry.

Etching and Polishing Behavior of Cu thin film according to the additive chemicals

  • Ryu, Ju-Suk;Eom, Dae-Hong;Hong, Yi-Koan;Park, Jum-Yong;Park, Jin-Goo
    • Proceedings of the International Microelectronics And Packaging Society Conference
    • /
    • 2002.05a
    • /
    • pp.274-278
    • /
    • 2002
  • The purpose of this study was to characterize the reaction of Cu surface with Cu slurry and CMP performance as a function of additives in CMP slurry. The polish rate of Cu was dependent on the kind of organic acids added in slurry. It was considered that polish rate of Cu was dependent on the concentration of carboxylates and mean particle size. When the etchant and oxidant were added in slurry, the highest removal rate and lower etch rate were measured at neutral pH. The addition of etchant, oxidant and pH adjustor played key roles of CMP ability in slurry. As the pH increased, polish rate of Cu was increased by the enhanced the mechanical effects due to effective dispersion of slurry particles. Alumina abrasives was more desirable for 1st step slurry because of high removal rate of Cu and high selectivity ratio among TaN and Cu.

  • PDF

[O2/N2] Plasma Etching of Acrylic in a Multi-layers Electrode RIE System (다층 RIE Electrode를 이용한 아크릴의 O2/N2 플라즈마 건식 식각)

  • Kim, Jae-Kwon;Kim, Ju-Hyeong;Park, Yeon-Hyun;Joo, Young-Woo;Baek, In-Kyeu;Cho, Guan-Sik;Song, Han-Jung;Lee, Je-Won
    • Korean Journal of Materials Research
    • /
    • v.17 no.12
    • /
    • pp.642-647
    • /
    • 2007
  • We investigated dry etching of acrylic (PMMA) in $O_2/N_2$ plasmas using a multi-layers electrode reactive ion etching (RIE) system. The multi-layers electrode RIE system had an electrode (or a chuck) consisted of 4 individual layers in a series. The diameter of the electrodes was 150 mm. The etch process parameters we studied were both applied RIE chuck power on the electrodes and % $O_2$ composition in the $N_2/O_2$ plasma mixtures. In details, the RIE chuck power was changed from 75 to 200 W.% $O_2$ in the plasmas was varied from 0 to 100% at the fixed total gas flow rates of 20 sccm. The etch results of acrylic in the multilayers electrode RIE system were characterized in terms of negatively induced dc bias on the electrode, etch rates and RMS surface roughness. Etch rate of acrylic was increased more than twice from about $0.2{\mu}m/min$ to over $0.4{\mu}m/min$ when RIE chuck power was changed from 75 to 200 W. 1 sigma uniformity of etch rate variation of acrylic on the 4 layers electrode was slightly increased from 2.3 to 3.2% when RIE chuck power was changed from 75 to 200 W at the fixed etch condition of 16 sccm $O_2/4\;sccm\;N_2$ gas flow and 100 mTorr chamber pressure. Surface morphology was also investigated using both a surface profilometry and scanning electron microscopy (SEM). The RMS roughness of etched acrylic surface was strongly affected by % $O_2$ composition in the $O_2/N_2$ plasmas. However, RIE chuck power changes hardly affected the roughness results in the range of 75-200 W. During etching experiment, Optical Emission Spectroscopy (OES) data was taken and we found both $N_2$ peak (354.27 nm) and $O_2$ peak (777.54 nm). The preliminarily overall results showed that the multi-layers electrode concept could be successfully utilized for high volume reactive ion etching of acrylic in the future.

Study on SiN and SiCN film production using PE-ALD process with high-density multi-ICP source at low temperature

  • Song, Hohyun;Seo, Sanghun;Chang, Hongyoung
    • Current Applied Physics
    • /
    • v.18 no.11
    • /
    • pp.1436-1440
    • /
    • 2018
  • SiN and SiCN film production using plasma-enhanced atomic layer deposition (PE-ALD) is investigated in this study. A developed high-power and high-density multiple inductively coupled plasma (multi-ICP) source is used for a low temperature PE-ALD process. High plasma density and good uniformity are obtained by high power $N_2$ plasma discharge. Silicon nitride films are deposited on a 300-mm wafer using the PE-ALD method at low temperature. To analyze the quality of the SiN and SiCN films, the wet etch rate, refractive index, and growth rate of the thin films are measured. Experiments are performed by changing the applied power and the process temperature ($300-500^{\circ}C$).

A study on failure detection in 64MDRAM gate-polysilicon etching process (64MDRAM gate-polysilicon 식각공정의 이상검출에 관한 연구)

  • 차상엽;이석주;우광방
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 1997.10a
    • /
    • pp.1485-1488
    • /
    • 1997
  • The capacity of memory chip has increased vert quickly and 64MDRAM becomes main product in semiconductor manufacturing lines consists of many sequential processes, including etching process. although it needs direct sensing of wafer state for the accurae detching, it depends on indirect esnsing and sample test because of the complexity of the plasma etching. This equipment receives the inner light of etch chamber through the viewport and convets it to the voltage inetnsity. In this paper, EDP voltage signal has a new role to detect etching failure. First, we gathered data(EPD sigal, etching time and etchrate) and then analyzed the relationships between the signal variatin and the etch rate using two neural network modeling. These methods enable to predict whether ething state is good or not per wafer. For experiments, it is used High Density Inductive coupled Plasma(HDICP) ethcing equipment. Experiments and results proved to be abled to determine the etching state of wafer on-line and analyze the causes by modeling and EPD signal data.

  • PDF